端口配置vlan

  • 交换机端口怎么配置vlan?
    答:在没有划分vlan的环境中不需要任何配置,接口为access模式即可。如果是多vlan环境,利用路由器配置了单臂路由就需要将接口配置为trunk了。trunk为干道的意思。在vlan跨交换机存在的时候使用,一条trunk可以承载多个vlan的数据,可以减少对交换机端口的浪费。如果按照你说的vlan情况,使用二层交换机这样连接,...
  • 如何配置vlan,并将一个端口分配给该vlan?
    答:第一 设置VLAN 举例:加入你要设置VLAN 2,就在全局模式下输入vlan 2 第二 将交换机端口加入VLAN 举例:要将交换机的第一个端口加入VLAN 2 在端口模式下输入 switchport access vlan 2 第三 配置trunk 在交换机的端口模式下输入 switchport mode trunk ...
  • 将思科交换机的端口加入vlan的步骤
    答:1、首先通过CONSOLO口或telnet连接交换机,进入用户模式“",如图所示:2、在用户模式下输入”en“,进入特权模式”Sw#“,如图所示:3、在特权模式下输入”conf t“,进入全局模式”Sw(config)#“,如图所示:4、接下来在全局模式下进入接口模式,输入”int range f0/1-3“,这里注意了使用range参数...
  • 如何将一个端口划分到一个VLAN中?
    答:1、首先进入到Cisco交换机的“全局配置模式”。2、在交换机上配置一个VLAN 2,名称为“two”。3、按照同样的方法,在交换机上配置一个VLAN 3,名称为“three”。4、然后把交换机上的0至5端口划入到VLAN 2。5、接着把交换机上的6至11端口划入到VLAN 3。6、使用查看命令,可以看出已成功划分了交...
  • 如何配置VLAN
    答:默认情况下交换机只能通过VLAN 1。在多个虚拟局域网的情况下跨交换机通信,无论切换模式还是指定允许VLAN,所有交换机端口都要设定配置 切换模式时进入端口后:switch mode trunk 多VLAN跨交换机通信实验:真正的局域网:必须在同一网段,同一组虚拟局 域网。默认情况下交换机只能通过VLAN 1。交换机模式分...
  • 如何将一个端口划分到一个VLAN中?
    答:主要方法有 如果是思科交换机或锐捷交换机 interface g0/0/1 switchport mode access switchport access vlan 10 如果是华为交换机或H3C交换机 interface g0/0/1 port link-type access port def vlan 10 如果是其它品牌交换机请查阅相关手册 以上配置方法可以采用WEB方式 ...
  • Vlan怎样设置?怎样添加端口?
    答:1.DES-3326SR三层交换机的VLAN的配置过程:(1)创建VLAN DES-3326SR#Config vlan default delete 1 -24 �8�0删除默认VLAN(default)包含的端口1-24''DES-3326SR#Create vlan vlan10 tag 10 �8�0创建VLAN名为vlan10,并标记VID为10 DES-3326SR#Create ...
  • 交换机端口可以划分VLAN吗?
    答:当一个端口划分为两个VLAN时,可以使用VLAN Tagging技术,在数据包的头部添加一个VLAN Tag,用来标识数据包所属的VLAN。这样交换机就可以根据VLAN Tag将数据包转发到目标VLAN。需要注意的是,在使用端口划分多个VLAN时,必须保证相应的终端设备也配置了相应的VLAN信息,否则会导致数据包无法正确到达目标设备...
  • 如何配置vlan,并将一个端口分配给该vlan?
    答:分为以下几步:第一 设置VLAN 举例:加入你要设置VLAN 2,就在全局模式下输入vlan 2 第二 将交换机端口加入VLAN 举例:要将交换机的第一个端口加入VLAN 2 在端口模式下输入 switchport access vlan 2 第三 配置trunk 在交换机的端口模式下输入 switchport mode trunk ...
  • 思科基于协议和端口的VLAN详细怎么配置?
    答:1、VLAN VLAN是连接到定义好了的switch的端口的网络用户和资源的逻辑分组.给不同的子网分配不同的端口,就可以创建更小的广播域.默认情况下,在某个VLAN中的主机是不可以与其他VLAN通信的,除非你使用router来创建VLAN间的通信 2、VLAN的一些特点:A.网络的增加,移动和改变,只需要在适当的VLAN中配置合适...

  • 网友评论:

    殳帖15034655160: 如何配置vlan,并将一个端口分配给该vlan? -
    882叶健 : 分为以下几步:第一 设置VLAN 举例:加入你要设置VLAN 2,就在全局模式下输入vlan 2 第二 将交换机端口加入VLAN 举例:要将交换机的第一个端口加入VLAN 2 在端口模式下输入 switchport access vlan 2 第三 配置trunk 在交换机的端口模式下输入 switchport mode trunk

    殳帖15034655160: Vlan怎样设置?怎样添加端口? -
    882叶健 : 将交换机接到计算机的com1口上,在计算机中安装超级终端(程序-->附件-->通讯-->超级终端)运行hypertrm ,进入超级终端后回车会出现如下的主配置界面: user(s) now active on Management Console. User Interface Menu[M] Menus[K] Command Line [I] IP Configuration 这里需要一点专业知识,就是CCNP方面的,你配置一下,把VLAN都定义到了交换机的端口上了.

    殳帖15034655160: 怎么把一个端口划进一个VLAN -
    882叶健 : 思科的配置方法:swicth>en //进入使能模式 swicth#conf t //进入全局配置模式 swicth(config)#vlan value //创建一个vlan,value为1-1005的值 Switch(config)#interface f0/1 //进入f0/1接口 Switch(config-if)#switchport access vlan value //将接口加入到vlan中

    殳帖15034655160: 用端口划分vlan方法
    882叶健 : 请具体说明你的设备的名称和型号,因为不一样的设备命令是不一样的!! 关于VLAN的几种划分 其实VLAN即虚拟局域网(Virtual Local Area Network的缩写),是一种通过将局域网内的设备逻辑地而不是物理地划分成一个个网段从而实现虚...

    殳帖15034655160: 如何将一个端口划分到一个VLAN中? -
    882叶健 : 补充一楼: switch#vlan database ;进入VLAN设置 switch(vlan)#vlan 22 ;建VLAN 22 switch(vlan)#exit;退出vlan数据库(不写end,不然不保存) switch(config)#int f0/35 ;进入模块0的端口35 switch(config)#switchport mode access;设置端口为access模式(思科等设备默认不写) switch(config-if)#switchport access vlan 22 ;当前端口加入vlan 22

    殳帖15034655160: 怎样设置VLAN 要步骤 -
    882叶健 : 你可以用IE打开http://192.168.0.1 这款交换机有WEB管理功能,你可以通过上述地址来进入到设置页面.那有VLAN的设置,你应该知道什么叫VLAN吧?如果你连VLAN原理都不明,看点书再去设置. 最后给你一个说明书的地址 http://www.dlink.com.cn/webapp/dlinkweb/product_model/productViewSupport.action?productModel.modelId=1000003050&data.subGroupId=1000000419&data.groupId=1000000419

    殳帖15034655160: VLAN的配置 -
    882叶健 : 以下华为3000系列交换机的一部份配置,里面建立了vlan725 在配置模式下先建立vlan 然后把端口加进去 或者进入端口然后port access vlan 725 就ok了------------------sysname h3c #super password level 3 cipher 2WN1GTX=5E#X)!*S%$I7#Q!! ...

    殳帖15034655160: 在交换机上划分VLAN的配置 -
    882叶健 : 1. 华为: 1.创建VLAN vlan batch 1 2 3 //同时创建多个VLAN 2.进入接口 int G0/0/0 3.设置接口 port link-type access /trunk(交换机与交换机)/hybrid(兼容) 4. VLAN 与接口绑定(access为例) port default vlan X 5.交换机与交换机之间 port link-...

    殳帖15034655160: 华为交换机怎么把端口加入vlan -
    882叶健 : 比如你想将端口12 、17到20加入VLAN 10 在配置界面下输入以下命令 system vlan 10 port g1/0/12 prot g1/0/17 to g1/0/20

    殳帖15034655160: 交换机的端口vlan配置需要注意什么? -
    882叶健 : 一般来说VLAN1 作为保留,配置成管理交换机的IP,在配置上网关就可以远程TELNET\SSH上去 每款交换机所支持vlan数不同,具体可看交换机的说明书,一般来说都支持到4096,有几个VLAN 是为令牌环保留的,可以通过#show vlan b看到.CISCO 的cty IOS配置命令就两行挺简单的.(config-if)# switch mode access (定义接口类型,access为接入层,trunk为交换机级联用并需 指定 封装类型匹配一致双方) (config-if)# switch access vlan 10 (将你已经进入的端口划入VLAN10中,可通过#show vlan b查看到)

    热搜:交换机配置vlan步骤 \\ 华为vlan批量加端口 \\ 华为vlan配置详细步骤 \\ 802.1q vlan和端口vlan \\ 一个端口加入2个vlan \\ 怎么把端口加入vlan \\ 华三交换机创建vlan \\ 将光口加入vlan \\ 把多个端口加入vlan的命令 \\ port default vlan \\ 交换机端口绑定vlan \\ 如何把端口放入vlan \\ 多端口加入同个vlan \\ 华三怎么把端口加入vlan \\ 将端口划入vlan \\ 华为端口加入vlan的命令 \\ 多个端口加入vlan \\ 一个端口怎么通过两个vlan \\ 配置vlan接口的ip地址 \\ 华三hybrid口配置命令 \\

    本站交流只代表网友个人观点,与本站立场无关
    欢迎反馈与建议,请联系电邮
    2024© 车视网