用VHDL语言或Verilog语言编写简单自动售货机

用verilog
HDL
改成VHDL
就可以了
用状态机写的
/*信号定义:
clk:
时钟输入;
reset:
为系统复位信号;
half_dollar:
代表投入5角硬币;
one_dollar:
代表投入1元硬币;
half_out:
表示找零信号;
dispense:
表示机器售出一瓶饮料;
collect:
该信号用于提示投币者取走饮料。
*/
module
sell(one_dollar,half_dollar,
collect,half_out,dispense,reset,clk);
parameter
idle=0,one=2,half=1,two=3,three=4;
//idle,one,half,two,three为中间
状态变量
,代表投入币值的几种情况
input
one_dollar,half_dollar,reset,clk;
output
collect,half_out,dispense;
reg
collect,half_out,dispense;
reg[2:0]
D;
always
@(posedge
clk)
begin
if(reset)
begin
dispense=0;
collect=0;
half_out=0;
D=idle;
end
case(D)
idle:
if(half_dollar)
D=half;
else
if(one_dollar)
D=one;
half:
if(half_dollar)
D=one;
else
if(one_dollar)
D=two;
one:
if(half_dollar)
D=two;
else
if(one_dollar)
D=three;
two:
if(half_dollar)
D=three;
else
if(one_dollar)
begin
dispense=1;
//售出饮料
collect=1;
D=idle;
end
three:
if(half_dollar)
begin
dispense=1;
//售出饮料
collect=1;
D=idle;
end
else
if(one_dollar)
begin
dispense=1;
//售出饮料
collect=1;
half_out=1;
D=idle;
end
endcase
end
endmodule

  • 瀛PGA鐢╒HDL璇█杩樻槸Verilog璇█姣旇緝濂?
    绛旓細鎴戝ぇ瀛﹀鐨VHDL,鐜板湪鐢ㄧ殑verilog锛屾劅瑙塿erilog鏇村儚涓闂璇█锛孷HDL鏇村儚鐢佃矾锛屼絾鏄┒鍏舵牴鏈紝杩樻槸鐢佃矾銆佹暟鎹祦鐨勮璁★紱褰㈣薄鐐规潵璇
  • VHDL鍜VERILOG HDL鍝釜鐢ㄥ緱澶氫簺??鍝釜浣跨敤鏂逛究浜??杩樻湁浠栦滑閮芥湁浜涗粈涔...
    绛旓細鍥藉唴鐨勮瘽 鎴戣寰verilog 鐢ㄧ殑姣旇緝澶氬惂 鍥犱负verilog鍋忚繎C,浣嗘槸瀹炶川涓婂仛璁捐鐨勮瘽,鍗冧竾涓嶈兘鏈夎繖绉嶈蒋浠禖鐨勬濈淮鍘诲仛纭欢璁捐,杩欐牱寰堝嵄闄╃殑.VHDL鐨璇,鐩稿浜巚erilog,鏇翠负鐨勪弗璋ㄤ笌灞傛鍖,濡傛灉浠庡畠閭d簺鏉′欢鍒ゆ柇璇彞,浠涔坕f else then endif.鏈夌偣绫讳技浜嶸B..涓嶈繃鍏跺疄,浣犳兂鍋欶PGA鎴栬IC璁捐鐨勮瘽,杩2绉璇█閮...
  • 瀛︿範CPLD鐢╲hdl鍜verilog鍝璇█濂
    绛旓細鐩墠鍥藉唴鐨勪娇鐢ㄧ▼搴︽潵鐪嬶紝娌挎捣鍦板尯鐨勫紑鍙戝鐢Verilog璇█锛屽綋鐒浣跨敤VHDL鐨涔熶笉鍦ㄥ皯鏁般備粠鏍囧噯鏉ョ湅锛孷erilog鏄疌ADENCE鍏徃鍙戣〃鐨勪竴闂ㄧ‖浠剁紪绋嬭瑷锛岀洰鍓嶄篃琚垪涓篒EEE鐨勬爣鍑嗕箣涓锛岃VHDL璇█鏄編鍥藉浗闃查儴璧勫姪涓嬪垱濮嬬殑锛屽悓鏃朵篃鏄疘EEE鎸囧畾涓鸿鑼冪殑绗竴绉嶇‖浠舵弿杩拌瑷銆備簩鑰呮病鏈夊ソ鍧忎箣鍒嗭紝鐪嬬湅浣犲懆鍥寸殑浜鎴栬灏嗘潵鐨...
  • vhdl涓verilog鐨勫尯鍒槸浠涔?
    绛旓細1銆佹剰鎬濅笉涓 vhdl锛氭槸涓绉嶇敤浜庣數璺璁$殑楂樼骇璇█銆verilog锛氭槸涓绉嶇‖浠舵弿杩拌瑷銆2銆佹潵婧愪笉涓 vhdl锛氳癁鐢熶簬1982骞达紝鏉ヨ嚜ADA銆倂erilog锛氭槸鐢盙ateway璁捐鑷姩鍖栧叕鍙哥殑宸ョ▼甯堜簬1983骞存湯鍒涚珛锛屾潵鑷狢璇█銆3銆佸眰娆′笉涓 vhdl锛氳娉曚弗璋紝姣旇緝闅惧锛屽湪娆ф床鍜屽浗鍐呮湁杈冨浣跨敤鑰呫倂erilog锛氭槗瀛︽槗鐢紝缂栫▼椋庢牸鐏垫椿銆...
  • VHDL涓VerilogHDL鏈変粈涔堝紓鍚?
    绛旓細鐩墠鐗堟湰鐨Verilog HDL鍜孷HDL鍦ㄨ涓虹骇鎶借薄寤烘ā鐨勮鐩栬寖鍥存柟闈篃鏈夋墍涓嶅悓銆備竴鑸涓篤erilog HDL鍦ㄧ郴缁熺骇鎶借薄鏂归潰姣擵HDL鐣ュ樊涓浜涳紝鑰屽湪闂ㄧ骇寮鍏崇數璺弿杩版柟闈㈡瘮VHDL寮哄緱澶氥 鐢╒HDL/VerilogHD璇█寮鍙慞LD/FPGA鐨勫畬鏁存祦绋嬩负锛 1.鏂囨湰缂栬緫锛氱敤浠讳綍鏂囨湰缂栬緫鍣ㄩ兘鍙互杩涜锛屼篃鍙互鐢ㄤ笓鐢ㄧ殑HDL缂栬緫鐜銆傞氬父...
  • 瀛︿範FPGA鐢ㄥ摢绉嶇‖浠舵弿杩璇█姣旇緝濂
    绛旓細HDL姒傝堪 闅忕潃EDA鎶鏈殑鍙戝睍锛浣跨敤纭欢璇█璁捐PLD/FPGA鎴愪负涓绉嶈秼鍔裤傜洰鍓嶆渶涓昏鐨勭‖浠舵弿杩拌瑷鏄疺HDL鍜Verilog HDL銆 VHDL鍙戝睍鐨勮緝鏃╋紝璇硶涓ユ牸锛岃孷erilog HDL鏄湪C璇█鐨勫熀纭涓婂彂灞曡捣鏉ョ殑涓绉嶇‖浠舵弿杩拌瑷,璇硶杈冭嚜鐢便 VHDL鍜孷erilog HDL涓よ呯浉姣旓紝VHDL鐨涔﹀啓瑙勫垯姣擵erilog鐑︾悙涓浜涳紝浣verilog鑷...
  • VHDL鍜Verilog HDL鏈変粈涔堜笉鍚?
    绛旓細鍦ㄦ鍏堝VHDL涓Verilog HDL鐨勫彂灞曞巻绋嬩綔涓涓畝鍗曠殑浠嬬粛銆俈HDL璇炵敓浜1982骞淬傚湪1987骞村簳,VHDL琚獻EEE鍜岀編鍥藉浗闃查儴纭涓烘爣鍑嗙‖浠舵弿杩璇█銆傝嚜IEEE鍏竷浜VHDL鐨鏍囧噯鐗堟湰,IEEE-1076(绠绉87鐗)涔嬪悗,鍚凟DA鍏徃鐩哥户鎺ㄥ嚭浜嗚嚜宸辩殑VHDL璁捐鐜,鎴栧甯冭嚜宸辩殑璁捐宸ュ叿鍙互鍜孷HDL鎺ュ彛銆傛鍚嶸HDL鍦ㄧ數瀛愯璁¢鍩熷緱鍒颁簡骞挎硾鐨勬帴鍙,骞...
  • vhdl涓verilog鐨勫尯鍒
    绛旓細$random绛塿hdl璇硶涓ユ牸鏌愪簺閿欒鍦ㄨ娉曞垎鏋愰樁娈靛氨鍙互琚彂鐜版娊璞″眰娆¤緝verilog鐣ラ珮鍏锋湁涓浜泇erilog娌℃湁鐨勫姛鑳芥瘮濡傚彲浠ュ畾涔夋ā鍧楃鍙d负澶氱淮鏁扮粍绫诲瀷銆佸彲浠ヤ笉鎸囧畾鐘舵佹満鐨勫叿浣撶紪鐮佹柟寮忎袱鑰呬笉瀛樺湪鈥滀紭鍔b濅箣鍒嗗拰绯荤粺瑙勬ā涔熸病鏈夊叧绯绘垜鍠滄鐢╲hdl浣嗘槸鐜板湪鐢╲erilog鐨勫缓璁敤鍚庤呭彲鑳藉嚑骞村悗systemverilog浼氬湪璁捐銆侀獙璇佷袱...
  • FPGA鐢ㄤ粈涔堢紪绋璇█?
    绛旓細FPGA鍙互鐢╒HDL璇█鍜VERILOG璇█杩涜缂栫▼寮鍙戯紝鐩墠娆ф床鍓嶈呯敤鐨勫锛岃岋紝缇庡浗锛屾棩鏈垯鏇村鐨勪娇鐢ㄥ悗鑰咃紝鑰屼笖鍚庤呭拰C璇█姣旇緝鐨勬帴杩戯紝濡傛灉浣犲C姣旇緝鐔熸倝鐨勮瘽锛屽彲浠ラ夋嫨浣跨敤VerilogHDL璇█锛屽熀鏈娉曞拰C闈炲父鐩镐技锛岃屼笖涔熼潪甯哥伒娲伙紝VHDL璇█鍒欑浉瀵规潵璇存瘮杈冧弗璋ㄣ傚叾瀹炰袱绉嶈瑷鐨勫樊鍒苟涓嶅ぇ锛屼粬浠殑鎻忚堪鑳藉姏涔熸槸绫讳技...
  • 鎯冲verilog鎴杤hdl,鍝釜濂戒簺鍛?
    绛旓細鍒嗙被: 鐢佃剳/缃戠粶 >> 绋嬪簭璁捐 >> 鍏朵粬缂栫▼璇█ 瑙f瀽:搴旇瀛verilog...鐩墠搴旂敤鏇村箍锛岃屼笖浠ュ悗SystemVerilog涔熸槸杩欐潯璺蛋涓嬪幓鐨勶紝VHDL鐜板湪鍩烘湰涓婂彧鏄洜涓哄巻鍙插師鍥犲湪鐣欑敤浜...
  • 扩展阅读:verilog语言入门教程 ... verlog hdl ... 女生分手突然改成nuyoah ... divide java ... will molina solo ... villain dies gidle ... verilog vivado ... valorant ... lenovo v14-iil ...

    本站交流只代表网友个人观点,与本站立场无关
    欢迎反馈与建议,请联系电邮
    2024© 车视网