四人抢答器显示系统怎么用74LS48来设置

VHDL\u8bed\u8a00\u7f16\u62a2\u7b54\u5668 \u56db\u4eba\u62a2\u7b54\u5668

library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity QDQ4R is
port(sta:in std_logic;
clk :in std_logic;
rst :in std_logic;
a,b,c,d :in std_logic;
lb :out std_logic;
t_limit :out std_logic_vector(3 downto 0);
led :out std_logic_vector(3 downto 0);
seg :out std_logic_vector(3 downto 0));

end QDQ4R;
architecture bhe of QDQ4R is
signal tem :std_logic_vector(3 downto 0);
signal scq :std_logic;
signal s :std_logic;
signal t :std_logic;
signal ns :std_logic;
signal s_sta :std_logic;
constant w1 :std_logic_vector:="0001";
constant w2 :std_logic_vector:="0010";
constant w3 :std_logic_vector:="0011";
constant w4 :std_logic_vector:="0100";
begin
tem<=d&c&b&a;
s<=a or b or c or d;
ns<=not(a or b or c or d);
p1: process(sta,ns)
begin
if sta='1' then
s_sta<='1';
elsif(ns'event and ns='1')then
s_sta<='0';
end if;
end process p1;
p2: process(rst,s_sta,s,t)
begin
if rst='1' then
led<="0000";
elsif(s'event and s='1')then
if (s_sta='1' and t='1') then
case tem is
when "0001"=> led<="0001";
when "0010"=> led<="0010";
when "0100"=> led<="0100";
when "1000"=> led<="1000";
when others=> led<="0000";
end case;
end if;
end if;
end process p2;

p3: process(clk,s_sta)
variable lb_cnt :std_logic_vector(1 downto 0):="10";
begin
if(s='1') then
if (clk='1' and clk'event) then
if lb_cnt>"00" then
lb<=clk;
lb_cnt:=lb_cnt+1;
else
lb_cnt:="10";
lb<='0';
end if;
end if;
end if;
end process p3;
p4: process(s)
begin
if(rst='1') then
seg<="0000";
elsif(s'event and s='1') then
case tem is
when "0001" =>seg<=w1;
when "0010" =>seg<=w2;
when "0100" =>seg<=w3;
when "1000" =>seg<=w4;
when others =>seg<="0000";
end case;
end if;
end process p4;
p5: process(clk,s_sta)
variable s_time :std_logic_vector(3 downto 0):="1010";
begin
if(clk'event and clk='1') then
if(sta='1' and s_time>"0000") then
t<='1';
s_time:=s_time-1;
t_limit<=s_time;
else
s_time:="1010";
t<='0';
end if;
end if;
end process p5;
end bhe;

\u8bf7\u56de\u5934\uff0c
\u6211\u75af\u72c2\u4e86
\u53d8\u6210\u4e00\u4e2a\u9ed1\u70b9\uff0c\u7136\u540e\u6d88\u5931\u3002\u6211\u6361\u8d77
\u800c\u6f02\u4eae\u662f\u4e00\u4e2a\u516c\u8ba4\u7684\u8868\u793a\u8d5e\u6210\u7684\u4fda\u8bed
\u5fae\u6cab\u5f62\u6210\u7684\u94bb\u77f3\u591a\u5230\u65e0\u6570\uff0c
\u53ef\u4ee5\u5bf9\u7740\u5c71\u5ddd\u6cb3\u6d77\u54c8\u54c8

74LS48是七段码译码驱动器,其作用是将四位二进制转变为BCD码显示。如果是16人以下的抢答电路,一片芯片加1个数码管就可以了。你需要再采用一个编码器电路将16个按键信息转变为4位二进制输出。

看看:
http://hi.baidu.com/do_sermon/item/
bc1951b8d79ec89118469730

  • 姹傚洓璺鎶㈢瓟鍣鐢佃矾鍘熺悊鍥
    绛旓細鎶㈢瓟鍣鐢74LS148銆74LS279銆74LS48缁勬垚锛孡ED鏄剧ず鍣 寮濮嬫椂锛屽綋鏀寔浜烘寜閽繕鏈寜鏄紝CLR涓0锛屾墍浠ヨ緭鍑篞1~Q4涓0锛涙斁鍏変簩鏋佺鍏ㄤ负鐏殑锛屽綋涓绘寔浜烘寜閽寜涓嬫椂CLR涓1锛屽彲浠ヨ緭鍏ワ紝璋佸厛鎶㈢瓟锛岀浉搴旂殑璋佺殑鐏寒锛鍒╃敤74LS279鍜74LS148杈撳嚭鐨勬槸cp绛変簬0锛岄攣瀛樺叾浠栫殑锛屼笉鑳戒娇鍏朵粬鐨勮緭鍑恒
  • 鍩轰簬74LS175鑺墖鐨鍥涗汉鎶㈢瓟鍣璁捐
    绛旓細瑗垮畨鐢靛瓙绉戞妧澶у闀垮畨瀛﹂櫌璇剧▼璁捐璁烘枃璇鹃鍚嶇О锛氬熀浜74LS175鑺墖鐨鍥涗汉鎶㈢瓟鍣璁捐濮撳悕:鏉庢笂瀛﹀彿:11211019涓撲笟:鐢靛瓙淇℃伅宸ョ▼鏃ユ湡:2013骞12鏈堢洰褰曟憳瑕2Abstract3绗竴绔犲紩瑷41.1銆璁捐瑕佹眰5绗2绔犲姛鑳戒粙缁5绗3绔犳姠绛斿櫒璁捐妯″潡63.1銆鎬讳綋璁捐妯″潡63.2銆鍥涜矾鎶㈢瓟鍣ㄧ殑绠浠63.3銆鍥涜矾鎶㈢瓟鍣ㄧ殑鍘熺悊63.4銆鍗曞厓...
  • 姹傜敤74LS373鑺墖鍋氫竴涓鍥涗汉鎶㈢瓟鍣鐢佃矾鍥!
    绛旓細缁欎綘涓涓鐢74L373鍋氱殑8璺鎶㈢瓟鍣鐢佃矾锛屼綘鍙敤4璺
  • 浠4浜澶氭暟琛ㄥ喅鐢佃矾涓轰緥璁鸿堪MULTISIM鍦ㄧ數璺璁′腑鐨勫簲鐢,鏄患鍚堢數璺豢 ...
    绛旓細涓夈傞夋嫨璁惧鍜岃澶囪鏄:(涓)鎵閫夎澶(琛ㄤ竴)璁惧鍚嶇ОMultisim浠跨湡绯荤粺鐨勪唬鐮佹暟閲忎笅闄嶆部瑙﹀彂JK瑙﹀彂鍣74LS112 4涓庨潪闂74LS03 4 2杈撳叆鎴栭潪闂4002BD 1 4杈撳叆鐏场鎺㈠ご4鐢甸樆R1R4 4 1K VCC 9 5V鐢垫簮,寮曞叆浜嗗弻鎺峰紑鍏冲崟鍒鍙屾幏鍥5(b)璁惧:(1)JK瑙﹀彂鍣:(1)鍑″湪鏃堕挓淇″彿涓嶅悎閫昏緫鐨勯昏緫鍔熻兘鐨勪綔鐢ㄧ敱鎸囧畾鐨勪互涓嬬壒鎬...
  • 姹備竴鍥涜矾鎶㈢瓟鍣鐢佃矾鍥剧殑璁捐
    绛旓細褰撳紑鍏砈缃簬"寮濮"鏃,鎶㈢瓟鍣澶勪簬绛夊緟宸ヤ綔鐘舵,褰撴湁閫夋墜灏嗛敭鎸変笅鏃(濡傛寜涓婼4),74LS148鐨勮緭鍑 缁廟S閿佸瓨鍚,1Q=1,74LS48澶勪簬宸ヤ綔鐘舵,4Q3Q2Q=100,缁忚瘧鐮鏄剧ず涓"4"銆傛澶,1Q=1,浣74LS148 =1,澶勪簬绂佹鐘舵,灏侀攣鍏朵粬鎸夐敭鐨勮緭鍏ャ傚綋鎸夐敭鏉惧紑鍗虫寜涓嬫椂,74LS148鐨 姝ゆ椂鐢变簬浠嶄负1Q=1,浣縎T=1,鎵浠74LS148浠嶅浜...
  • 鏈夊叧鏁板瓧鐢靛瓙鎶鏈腑鐨勪竴涓棶棰
    绛旓細绗竴鑺 鎶㈢瓟鍣鐢佃矾妯″潡璇ョ數璺富瑕佸畬鎴愪袱涓姛鑳:1.鍒嗚鲸鍑洪夋墜鎸夐敭鐨勫厛鍚,骞堕攣瀛樹紭鍏堟姠绛旇呯殑缂栧彿,鍚屾椂璇戠爜鏄剧ず鐢佃矾鏄剧ず缂栧彿(鏄剧ず鐢佃矾閲囩敤涓冩鏁板瓧鏁扮爜鏄剧ず绠);2.绂佹鍏朵粬閫夋墜鎸夐敭,鍏舵寜閿搷浣滄棤鏁堛傜數璺夌敤浼樺厛缂栫爜鍣 74LS148 鍜岄攣瀛樺櫒 74LS279 鏉ュ畬鎴愩備竴.浼樺厛缂栫爜鍣 74LS14874LS148涓8绾-3绾夸紭鍏堢紪鐮佸櫒,琛4涓哄叾...
  • 鎶㈢瓟鍣鐢佃矾鍥
    绛旓細鐢辫Е鍙戝櫒鏋勬垚鐨勬敼杩涘瀷鎶㈢瓟鍣1.鍒濇浜嗚В瑙﹀彂鍣ㄧ殑鍩烘湰鍔熻兘鍙婄壒鐐广2. 鐔熸倝鍏锋湁鎺ユ敹銆佷繚鎸併佽緭鍑哄姛鑳界數璺殑鍩烘湰鍒嗘瀽鏂规硶銆3. 鎺屾彙瑙﹀彂鍣ㄥ簲鐢ㄧ數璺殑鍒嗘瀽鏂规硶銆4. 寤虹珛鏃跺簭閫昏緫鐢佃矾鐨勫熀鏈蹇点傚櫒浠:74LS00 1鐗,鍙屽洓杈撳叆涓庨潪闂74LS20 2鐗,鎸夐敭寮忓紑鍏4涓,鎸囩ず鐏(鍙戝厜浜屾瀬绠)涓夊彧銆510惟鐢甸樆3涓1S惟鐢甸樆4涓...
  • 扩展阅读:三人智力抢答器 ... 三人抢答电路 ... 四人抢答器74ls175 ... 74ls74三人抢答器线路图 ... 抢答器抢答怎样最快 ... 四人抢答器同时进行 ... 抢答器快速抢到的窍门 ... 四人抢答器verilog ... 四人抢答器最简单的电路图 ...

    本站交流只代表网友个人观点,与本站立场无关
    欢迎反馈与建议,请联系电邮
    2024© 车视网