微机原理课程设计怎么做? 微机原理课程设计,他都没教过,的东西,让我们做菜单,您能帮个...

\u5fae\u673a\u539f\u7406\u8bfe\u7a0b\u8bbe\u8ba1\u5fc3\u5f97\u4f53\u4f1a

\u901a\u8fc7\u5bf9\u8fd9\u7a0b\u5e8f\u7684\u5236\u4f5c\uff0c\u4f7f\u6211\u5bf9\u6c47\u7f16\u8bed\u8a00\u7684\u57fa\u672c\u77e5\u8bc6\u7684\u4f7f\u7528\u66f4\u52a0\u719f\u7ec3\uff0c\u540c\u65f6\u4e5f\u589e\u52a0\u4e86\u6211\u5bf9\u6c47\u7f16\u8bed\u8a00\u7684\u4e00\u4e9b\u8ba4\u8bc6\uff0c\u5728\u4f5c\u4e1a\u5b8c\u6210\u8fc7\u7a0b\u4e2d\u901a\u8fc7\u548c\u540c\u5b66\u7684\u4ea4\u6d41\uff0c\u4e5f\u589e\u52a0\u4e86\u5408\u4f5c\u7684\u6280\u5de7\u3002\u901a\u8fc7\u67e5\u9605\u4ee5\u4e0b\u8d44\u6599\u4e5f\u5b66\u5230\u4e86\u4e00\u4e9b\u8bfe\u672c\u4e0a\u6ca1\u6709\u7684\u4e1c\u897f\uff0c\u62d3\u5bbd\u4e86\u81ea\u5df1\u7684\u77e5\u8bc6\u9762\uff0c\u589e\u52a0\u4e86\u5b66\u597d\u6c47\u7f16\u8bed\u8a00\u7684\u4fe1\u5fc3\u3002

;\u8bbe\u8ba1\u5185\u5bb9\u8981\u6c42\uff1a
;1\u3001\u83dc\u5355
;\u5185\u5bb9\u5305\u62ec\uff1a\u2460\u8f93\u5165\u5bc6\u7801\uff08\u5b57\u7b26\u4e32\uff09\uff1b\u2461\u5bc6\u7801\u6821\u9a8c\uff1b\u2462\u9000\u51fa\u3002
;2\u3001\u8f93\u5165\u5b57\u7b26\u4e32
;\u9009\u62e9\u8be5\u9879\u540e\uff0c\u53ef\u4ee5\u8f93\u5165\u4e00\u4e2a\u5b57\u7b26\u4e32\u3002\u8be5\u5b57\u7b26\u4e32\u4f5c\u4e3a\u5bc6\u7801\u6821\u9a8c\u4e2d\u7684\u5df2;\u77e5\u5bc6\u7801\u3002
;3\u3001\u5bc6\u7801\u6821\u9a8c
;\u8f93\u5165\u5b57\u7b26\u4e32\uff0c\u82e5\u6240\u8f93\u5165\u7684\u5b57\u7b26\u4e32\u4e0e\u5bc6\u7801\u4e0d\u4e00\u81f4\u5219\u63d0\u793a\uff1aPassword ;error!\uff0c\u5e76\u91cd\u65b0\u63d0\u793a\u8f93\u5165\u5bc6\u7801\uff0c\u5f53\u9519\u8bef\u8f93\u5165\u4e09\u6b21\u65f6;

\u9000\u51fa\u8f6f\u4ef6\u8fd4\u56de;DOS\u3002\u82e5\u6240\u8f93\u5165\u7684\u5b57\u7b26\u4e32\u4e0e\u5bc6\u7801\u4e00\u81f4\u5219\u63d0\u793a\uff1aPassword correct!;\uff0c\u5e76\u8fd4\u56de\u4e3b\u83dc\u5355\u3002
;4\u3001\u5728\u4e3b\u83dc\u5355\u4e2d\u9009\u62e9\u9000\u51fa\u9879\uff0c\u5219\u7ed3\u675f\u7a0b\u5e8f\u8fd4\u56deDOS\u3002


;\u7528MASM5.0\u7f16\u8bd1\u901a\u8fc7,\u4ee5\u4e0b\u662f\u8fd0\u884c\u7ed3\u679c
;E:\masm>MENU
;
;1.Set Password 2.Input Password 3.Exit
;Set Password: 12333
;1.Set Password 2.Input Password 3.Exit
;Input Password: 11111
;Password error!
;
;1.Set Password 2.Input Password 3.Exit
;Input Password: 12334
;Password error!
;
;1.Set Password 2.Input Password 3.Exit
;Input Password: 12333
;Password correct!
;
;1.Set Password 2.Input Password 3.Exit
;E:\masm>
;


data segment

s0 db 0dh,0ah,'1.Set Password 2.Input Password 3.Exit $'
s1 db 0dh,0ah,'Set Password: $'
s2 db 0dh,0ah,'Input Password: $'
buff1 db 255,255 dup(0)
buff2 db 255,255 dup(0)
next_h db 0dh,0ah

s3 db 0dh,0ah,'Password error!',0dh,0ah,'$'
s4 db 0dh,0ah,'Password correct!',0dh,0ah,'$'
ERR_N DB 0
data ends
code segment
assume cs:code, ds:data
main proc far
start:
push ds
xor ax,ax
push ax
mov ax,data
mov ds,ax
PUSH DS
POP ES
;-------------------------
menu:
mov ah,09
mov dx ,offset s0
int 21h
again:
mov ah,08
int 21h
cmp al,'1'
je set_p
cmp al,'2'
je in_p
cmp al,'3'
je exit_dos1
jmp again
exit_dos1:
ret
set_p:
mov ah,09
mov dx,offset s1
int 21h
mov ah,0ah
mov dx ,offset buff1
int 21h
MOV AL,0
MOV ERR_N,AL ;\u9519\u8bef\u6b21\u6570\u6e05\u96f6
jmp menu

in_p:
mov ah,09
mov dx,offset s2
int 21h
mov ah,0ah
mov dx ,offset buff2
int 21h



mov bx ,offset buff1+1
mov al,[bx]

mov bx ,offset buff2+1
mov ah,[bx]

xor ah,al ;\u8bbe\u5b9a\u7684\u4e0e\u8f93\u5165\u7684\u5b57\u7b26\u4e32\u957f\u5ea6\u76f8\u7b49\u5417\uff1f
jnz err ;\u4e0d\u76f8\u7b49
MOV CH,0
MOV CL,AL
MOV SI,OFFSET BUFF1+2
MOV DI,OFFSET BUFF2+2
CLD
REPE CMPSB
JNZ ERR
MOV AH,09
MOV DX,OFFSET S4
INT 21H
JMP MENU

ERR:

MOV AH,09
MOV DX,OFFSET S3
INT 21H
INC ERR_N
MOV AL,ERR_N
CMP AL,3
JE EXIT_DOS


JMP MENU


;-------------------------
EXIT_DOS:
ret

main endp

code ends
end start

一、设计的目的:
掌握微机原理的基本应用方法。通过实验熟悉微机基本接口芯片的外型、引脚、编程结构,掌握汇编语言程序设计和微机基本接口电路的设计、应用方法,做到理论联系实际。

二、系统的主要功能、作用及主要性能指标
按照时间控制原则,利用并行接口和定时器,采用时间中断方式设计一套十字路口的交通灯管理系统,通行时间(或禁止时间)30秒,准备时间3秒,在准备时间里黄灯闪烁3次,闪烁频率为0.5秒,周而复始。可利用8255、8253、8259等接口电路。

总体设计
1、基本工作原理 设有一个十字路口,1、3为南,北方向,
2、4为东,西方向。 初始化之后, 1、3路口的绿灯亮, 2、4路口的红灯亮, 1、路口方向通车。 延迟一段时间(30秒)后, 1、3路口的绿灯熄灭,而1、3路口的黄灯开始闪烁。闪烁3次(延时3秒)后, 1、3路口的红灯亮, 同时2、4路口的绿灯亮, 2、4路口方向开始通车。 延迟一段时间(30秒)后, 2、4路口的绿灯熄灭,而黄灯开始闪烁。闪烁3次(延时3秒)后,再切换到1、3路口方向。 之后,重复上述过程

2 、硬件总体设计
(1)硬件电路设计
各芯片主要管脚的功能如下: 8255A PC0:和8253的OUT0端相与后得到黄灯信号,其中1表示 2 亮,0表示暗 PC1:表示绿灯信号,其中1表示亮,0表示暗 PC2:表示红灯信号,其中1表示亮,0表示暗 PC3:和8253GATE1端相连,为时器1的门控型号 PC4: 和8253GATE2端相连,为时器2的门控型号 PA0~PA7,PB0~PB7:可接两个8段LED显示器,用于显示当前等亮时间 8253: 定时器0:输入信号设为10KHZ,工作在方式3,输出为1HZ方波,OUT0和PC0相与得到黄灯信号。 定时器1:输入信号为OUT0,工作站方式2,计数初值为30,实现延迟30S后产生中断。控制字为:01010100 定时器2:输入信号为OUT0,工作站方式2,计数初值为3,实现延迟3S后产生中断。控制字为:10010100 8259: 采用边沿触发,没有级联,需要设ICW1,ICW2,ICW4,不用ICW3。

三、设计要求

1、预习要求:
1)预习《STAR ES598PCI实验仪微机原理实验指导书》附录三:
2) 预习《STAR ES598PCI实验仪 微机原理实验指导书》附录二之2.7 B2区;2.9 B4
区;2.15 C5区;2.36 G5区;2.37 G6区。
3)预习《STAR ES598PCI实验仪微机原理实验指导书》之“实验九8255控制交通实验”和“实验十8253方波实验”。
4)预习本任务书,根据设计任务,预先画出电路原理图、程序流程图,编写出实验程序。

2、设计报告要求:
1)画出接口部分电路原理图,并阐述系统工作原理。
2)绘制程序流程图和源程序清单。
3)调试过程和结果。
4)要求用WORD撰写课程设计报告,上交打印版和电子稿。

; 本程序在MASMPlus 1.2集成环境下通过编译,经过调试,运行正确。
Code Segment
Assume CS:Code,DS:Code
; -------------------------------------
; 功能:显示指定地址(Str_Addr)的字符串
; 入口:
; Str_Addr=字符串地址(要求在数据段)
; 用法: Output Str_Addr
; 用法举例:Output PromptStr
Output MACRO Str_Addr
push ax
lea dx,Str_Addr
mov ah,9
int 21h
pop ax
EndM
; -------------------------------------
; 功能:输出回车换行
Output_CTLF proc Near
push ax
push dx
mov ah,02h
mov dl,0dh
int 21h
mov dl,0ah
int 21h
pop dx
pop ax
ret
Output_CTLF endp
; -------------------------------------
; 功能:延时指定的时钟嘀嗒数
; 入口:
; Didas=时钟嘀嗒数(1秒钟约嘀嗒18.2次,10秒钟嘀嗒182次。若延时不是秒的10数次倍,误差稍微大点)
Delay Proc Near
push dx
push cx
push ax
xor ax,ax
int 1ah
mov cs:@@Times,dx
mov cs:@@Times[2],cx
Read_Time: xor ax,ax
int 1ah
sub dx,cs:@@Times
sbb cx,cs:@@Times[2]
cmp dx,Didas
jb Read_Time
pop ax
pop cx
pop dx
ret
@@Times dw 0,0
Delay EndP
; -------------------------------------
; 功能:键盘输入字符串
Input_String Proc Near
Output Prompt_Str ;提示输入字符串
lea dx,Buffer
@@Input_Str: mov ah,0ah
int 21h
mov cl,Buffer[1] ;取字符串长度
cmp cl,2
jb @@Input_Str ;要求输入的字符串最少2个字符,否则,重新输入字符串
xor ch,ch
mov Str_Lenth,cx ;字符串字符数
ret
Input_String EndP
; -------------------------------------
; 功能:字符显示方式下,画一个矩形框
Rectangler Proc Near
mov di,160*17+10*2
mov al,201 ;左上角字符
mov ah,9 ;LightBlue
stosw
mov al,205 ;横线符
mov cx,58
rep stosw
mov al,187 ;右上角字符
stosw
mov di,160*18+10*2
mov al,186 ;竖线符
stosw
mov Screen_Buffer,di ;矩形框左边界显示缓冲区地址
mov cx,58
mov al,20h ;空格符
rep stosw
mov Screen_Buffer[2],di ;矩形框右边界显示缓冲区地址
mov al,186 ;竖线符
stosw
mov di,160*19+10*2
mov al,200 ;左下角字符
stosw
mov al,205 ;横线符
mov cx,58
rep stosw
mov al,188 ;右下角字符
stosw
ret
Rectangler EndP
; -------------------------------------
Disp_Str Proc Near
push di
@@Disp_Str: lodsb
stosw
loop @@Disp_Str
pop di
ret
Disp_Str EndP
; -------------------------------------
; 所显示字符串在一矩形框内从无到有,从右至左移动,完全从框内移出后,又从
; 右至左移动,直到有任意键按下,停止字符串动画显示返回到主菜单。
Dynamic_Disp Proc Near
call Rectangler ;画矩形框
mov bx,Str_Lenth ;字符串长度
mov Buffer[bx][2],20h
@@Moving_0: mov di,Screen_Buffer[2]
dec di
dec di
mov cx,Str_Lenth ;字符串长度
mov bx,cx
inc bx
@@Moving_1: lea si,Buffer[2]
mov ah,14 ;字符显示属性:黄色字符
push cx
mov dx,cx
mov cx,bx
sub cx,dx
call Disp_Str ;写字符串到显示缓冲区
dec di
dec di
pop cx
call Delay ;延时
mov ah,1
int 16h
jz $+3
ret
loop @@Moving_1
mov cx,Str_Lenth ;字符串长度
inc cx
mov bx,cx
@@Moving_2: lea si,Buffer[2]
mov ah,14 ;字符显示属性:黄色字符
mov cx,bx
call Disp_Str ;写字符串到显示缓冲区
call Delay ;延时
mov ah,1
int 16h
jz $+3
ret
dec di
dec di
cmp di,Screen_Buffer
jae @@Moving_2
inc di
inc di
lea si,Buffer[3]
mov cx,Str_Lenth ;字符串长度
@@Moving_3: push cx
push si
mov ah,14 ;字符显示属性:黄色字符
call Disp_Str ;写字符串到显示缓冲区
pop si
inc si
pop cx
call Delay ;延时
mov ah,1
int 16h
jz $+3
ret
loop @@Moving_3
jmp @@Moving_0
ret
Dynamic_Disp EndP
; -------------------------------------
Didas equ 1 ;延时1个嘀嗒时间
Prompt_Menu db 4 dup(10)
db 35 dup(20h),'Main Menu',13,10
db 30 dup(20h),201,17 dup(205),187,13,10
db 30 dup(20h),186,' Input a String ',186,13,10
db 30 dup(20h),186,' Dynamic Display ',186,13,10
db 30 dup(20h),186,' Return to DOS ',186,13,10
db 30 dup(20h),200,17 dup(205),188,13,10
db 32 dup(20h),'Choice(I,D,R):$'
Cursor_Tmp dw ?
Str_Lenth dw ? ;字符串字符数
Screen_Buffer dw 2 dup(?) ;显示缓冲区左右边界地址
Prompt_Str db 13,10,10,'Please input a string:$'
Press_Key db 7,13,12 dup(10),'The complated. Press any key to exit...$'
Start: push cs
pop ds ;使数据段与代码段同段
push cs
pop es ;使附加段与代码段同段
mov ax,3 ;设置25*80字符显示方式
int 10h
Output Prompt_Menu ;显示主菜单
xor bx,bx
mov ah,3
int 10h
mov Cursor_Tmp,dx
mov ax,0b800h
mov es,ax ;设置字符显示方式缓冲区段地址
mov di,160*4+35*2+1
mov al,13 ;LightMagenta
mov cx,10
cld
Attribute: stosb
inc di
loop Attribute
mov di,160*6+32*2+1
stosb
add di,159
stosb
add di,159
stosb
mov di,160*10+39*2+1
stosb
add di,3
stosb
add di,3
stosb
Choice_Func: mov dx,Cursor_Tmp
xor bx,bx
mov ah,2
int 10h
mov ah,1
int 21h
and al,0dfh
cmp al,'R'
jz Exit_Proc
cmp al,'I'
jnz $+7
call Input_String
jmp Choice_Func
cmp al,'D'
jnz Choice_Func
call Dynamic_Disp
jmp Choice_Func
; -------------------------------------
Exit_Proc: Output Press_Key ;提示操作完成,按任意键结束程序
mov ah,1
int 21h
mov ah,4ch ;结束程序
int 21h
Buffer db 25 ;输入字符串缓冲区
Code ENDS
END Start ;编译到此结束

给你大概写写吧,毕竟学习的事情还是要自己搞定的。
完成软件的关键技术“1,使用了dos的0AH号功能(字符串输入)、2号功能(字符显示)等,如果想显示彩色字符,也可以在此基础上加以调整,包括调用BIOS中断;2,利用子程序反复调用,减少代码量,增加程序可读性;3,矩形框采用ASCII字符绘制,提高程序效率,当然同样可以再次基础上加以调整,调用BIOS中断在绘图模式下绘制”。
程序设计流程图仅表示大概意思
清屏幕→屏幕初始化→绘制矩形框→动画显示提示语等→初始菜单待输入字符串或者退出命令→绘制矩形框→以多个字符动画显示字符串→等待输入→根据输入返回到初始菜单

很麻烦,手头没有protel,画不了图,简单跟你说下思路,上了课也应该多少明白点的……

使用74161异步4位计数器做个8位的计数器,然后输入时钟CLK,DA0832使用直通模式,接在8位计数器上,随着计数输出电压会逐渐升高,如果是锯齿波就计数多少然后清零就可以了,有了锯齿波,正弦波和方波均可以用运放使用模拟的方法实现

题目: 字符串动画显示
设计内容要求:
1、菜单
内容包括:①输入字符串;②字符串动画显示;③退出。
2、输入字符串
选择该项后,可以输入一个字符串。该字符串即为动画显示时所显示的字符串。
3、字符串动画显示
所显示字符串在一矩形框内从无到有,从右至左移动,完全从框内移出后,又从右至左移动,直到有任意键按下,停止字符串动画显示返回到主菜单。
4、在主菜单中选择退出项,则结束程序返回DOS。
内容要求:以下几项必不可少:
1、完成软件的关键技术(如:使用了什么DOS功能)
2、程序设计流程图,如有必要可有多个,可包括主程序流程图,关键部分程序流

  • 鐢靛瓙閽璇剧▼璁捐(寰満鍘熺悊)鎬ラ渶!!!
    绛旓細鐢靛瓙閽璇剧▼璁捐(寰満鍘熺悊)鎬ラ渶!!!  鎴戞潵绛 1涓洖绛 #鐑# 缃戞枃璐ㄩ噺鏄笉鏄笅闄嶄簡?tqsq 2009-12-31 鐭ラ亾绛斾富 鍥炵瓟閲:1 閲囩撼鐜:0% 甯姪鐨勪汉:0 鎴戜篃鍘荤瓟棰樿闂釜浜洪〉 鍏虫敞 灞曞紑鍏ㄩ儴 鐢靛瓙闂归挓鎺ョ嚎鍥璁捐鍘熺悊: 璁℃椂鍔熻兘:鐢ㄥ埌鐨8254鐨勫姛鑳藉彧鏄浉褰撲簬涓涓垎棰戣,杈撳叆涓瀹氶鐜囩殑鑴夊啿淇″彿浣挎瘡闅...
  • 寰満鍘熺悊瀹為獙涓璇剧▼璁捐鎸囧涔﹀浘涔︾洰褰
    绛旓細寰満鍘熺悊瀹為獙涓璇剧▼璁捐鎸囧涔﹁缁嗙洰褰曞涓嬶細绗竴閮ㄥ垎锛氬疄楠岀瘒 瀹為獙涓锛氭暟鎹浆鎹 瀹為獙浜岋細鏁版嵁澶勭悊 瀹為獙涓夛細鏁版嵁杩愮畻 瀹為獙鍥涳細鎺掑簭绋嬪簭璁捐 绗簩閮ㄥ垎锛氱▼搴忚璁′笌绯荤粺鐞嗚В 瀹為獙浜旓細绯荤粺涓柇璋冪敤 瀹為獙鍏細鍒ゆ柇闂板勾绋嬪簭 瀹為獙涓冿細涔愭洸绋嬪簭璁捐 瀹為獙鍏細鍥惧舰鏄剧ず绋嬪簭璁捐 绗笁閮ㄥ垎锛氱‖浠舵帴鍙d笌鎺у埗 瀹為獙涔濓細纾佺洏...
  • 鎴戜滑瑕佸仛寰満鍘熺悊璇剧▼璁捐,鍒ゆ柇涓涓暟鏄笉鏄川鏁,姹傞珮鎵嬫寚鐐瑰晩,璋㈣阿...
    绛旓細灏辨槸瀵硅緭鍏ョ殑鏁板瓧寰幆浠2寮濮嬮櫎涓鐩村埌锛坕-1锛夛紝鍙栦綑鏁帮紝鏈変綑鏁颁负0锛屽垯涓嶆槸璐ㄦ暟锛屽惁鍒欏垯鏄
  • 寰満鍘熺悊鍙婃帴鍙f妧鏈疄楠屾暀绋嬪唴瀹圭畝浠
    绛旓細瀛︾敓鑳藉娣卞寲瀵硅鍫傜煡璇嗙殑鐞嗚В锛屽苟瀛︿細鐏垫椿杩愮敤鐭ヨ瘑璁捐鍚勭鎺ュ彛鐢佃矾锛屽煿鍏诲垱鏂版濈淮鍜屽疄璺垫妧鑳姐傛渶鍚庯紝绗洓绔犳槸璁捐鎬у疄楠岋紝涓撲负璇剧▼璁捐鍜屽紑鏀炬у疄楠岃璁★紝鐩爣鏄瀛︾敓鍦ㄥ疄闄呭簲鐢ㄤ腑鎺屾彙寰瀷鏈烘帴鍙g數璺殑璁捐锛岃繖鏄寰満鍘熺悊鍙婃帴鍙f妧鏈绋嬬殑鏍稿績鐩爣锛屾棬鍦ㄦ彁鍗囧鐢熺殑瀹為檯鎿嶄綔鑳藉姏鍜岄棶棰樿В鍐宠兘鍔涖
  • 姹囩紪璇█楂樻墜:寰満鍘熺悊璇剧▼璁捐---鏄剧ず鏃ユ湡鎴栨椂闂
    绛旓細; 棰樼洰鍚嶇О锛氭樉绀虹郴缁熸棩鏈熷拰鏃堕棿 ; 棰樼洰鏉ユ簮锛歨ttp://zhidao.baidu.com/question/130808124.html ; 绋嬪簭鐗圭偣锛氭湰绋嬪簭鏈娇鐢ㄥ父瑙勭殑BIOS涓柇璋冪敤鍜孌OS鍔熻兘璋冪敤鐨勬柟娉曟帴鏀舵寜閿紝鑰屾槸鐩存帴鍒ゆ柇閿洏缂撳啿鍖虹殑鏂规硶鎺ユ敹鎸夐敭锛; 閬垮厤浜嗗湪鎺ユ敹鎸夐敭鏈熼棿鏃ユ湡鎴栨椂闂村仠姝㈡樉绀猴紙涓嶆洿鏂帮級鐨勯噸瑕佸紛绔紝灏ゅ叾鏄椂闂寸鐨勬洿鏂帮紝...
  • 寰満鍘熺悊涓庢帴鍙 姹傚ぇ绁 蹇晩
    绛旓細鈮ц〃绀"鎴栭棬"锛&琛ㄧず"涓庨棬"锛屼腑闂村ぇ妗嗙殑鏄74LS138璇戠爜鍣ㄥ綋M/IO绾=1锛屼笖鍦板潃鎬荤嚎A19锝濧14=000111锛138鐨刌7Y6=01锛孋S=0褰揗/IO绾=1锛屼笖鍦板潃鎬荤嚎A19锝濧14=000110锛138鐨刌7Y6=10锛孋S=0浠ヤ笂涓ょ鎯呭喌閮介変娇RAM鐗囬変俊鍙锋湁鏁堟墍浠ワ紝RAM鑺墖鍦板潃楂6浣=000111鎴000110RAM鐨勪綆14浣嶅湴鍧鍙栧喅浜嶢13锝濧0锛...
  • 寰満鍘熺悊璇剧▼璁捐 璺眰澶х甯繖!!
    绛旓細.MODEL SMALL .STACK 100H .DATA KEY_IN1_MAX DB 64 DB 0 KEY_IN1_BUF DB 64 DUP('$')PROMPT_1 DB 10, 13, 'input string:', 10, 13, '$'PROMPT_2 DB 10, 13, 'output string:', 10, 13, '$'.CODE start:MOV AX, @DATA MOV DS, AX MO...
  • 寰満鍘熺悊璇剧▼璁捐 缂栧啓绋嬪簭,涓嶅樊鍒,瑙e喅鍚庤繕浼氬姞鍒,璋㈣阿甯繖
    绛旓細浠ヤ笂鏄垜鎸夐鐩姹傜紪鍐欑殑婧愮▼搴忥紝缂栬瘧閾炬帴鍚庣敓鎴怑XE鏂囦欢杩愯缁撴灉濡傛埅鍥炬墍绀.鑻ユ彁闂呴噰绾筹紝鎴戝啀鎻愪緵鏈夎缁嗘敞閲婄殑姹囩紪绋嬪簭銆
  • 璺眰寰満鍘熺悊鈥滃鐢ㄧ數鐑穻娴村櫒鎺у埗鍣ㄢ璇剧▼璁捐!!!
    绛旓細鍏紡锛歈 = CM鈻砊Q =閾傚叾涓璔鏄儹鑳斤紝鍗曚綅鐒﹁筹紝瀛楁瘝J锛孋鐨勭儹瀹归噺鐨勬瘮鐜囷紝鍗曚綅鐒﹁/鍗冨厠路鎽勬皬搴︼紝鐨勫瓧姣岼 /鍗冨厠路鈩冿紝M涓鸿川閲忥紝鍗曚綅kg 锛屽瓧姣嶅叕鏂わ紝鈻硉鏄俯搴︾殑鍙樺寲锛屽崟浣嶆憚姘忥紝淇′欢掳C锛孭锛屽湪鐡︾殑鐢靛姏锛屽瓧姣峎锛孴鐨勬椂闂达紝浠ョ涓哄崟浣嶏紝绗﹀彿S />锛1锛夊姞鐑墍闇鐨勭儹閲廞 = CM鈻冲湪t = 4200脳...
  • 寰満鍘熺悊棰,姹傚ぇ绁炶В绛
    绛旓細浣犺鏄兘缁欌滄枃搴撯濈殑鍒嗭紝鎴戝氨甯綘瑙e喅杩欎釜闂銆傝В鍐虫柟妗2锛氳繖涓綉涓婃湁寰堝鍟 浣犲彲浠ュ埌缃戜笂鐪嬬湅 寰満鍘熺悊澶х 甯繖鍋氫竴涓嬭繖閬撻 绛旓細鎴戜細鍋氾紝浣嗘槸寰楄姳鏃堕棿锛屾垜鍙互缁欎綘鍙傝冦傛垜浠璇剧▼璁捐灏卞仛杩欎釜銆傛湁鑿滃崟閫夋嫨锛岄夊悗鏈夊姩鐢伙紝鏈夌數瀛愭椂閽燂紝褰撶劧浣犺鐨勬帓搴忓拰鏌ユ壘涔熶笉闅撅紝鏇村鏄撱傛垜鍥 ...
  • 扩展阅读:手工自制课程表 ... 数电课程设计简单 ... 创意课程表手工立体 ... 自制漂亮的课程表 ... 自制课程表可爱立体 ... 自己制作一张课程表 ... 机械课程设计生成器 ... 减速器课程设计零件图 ... 立体课程表制作 ...

    本站交流只代表网友个人观点,与本站立场无关
    欢迎反馈与建议,请联系电邮
    2024© 车视网