求基于FPGA 的电子密码锁设计的vhdl代码,FPGA开发板上只有八个开关,没有4*4按键

\u8fd9\u4e2a\u7535\u5b50\u5bc6\u7801\u9501\u8bbe\u8ba1\u7684VHDL\u4ee3\u7801\u80fd\u7ed9\u6211\u53d1\u4e00\u4efd\u5417

library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity mmlock is
port( rst : in std_logic;
clk : in std_logic;
load : in std_logic;
inpt : in std_logic_vector(7 downto 0);
cord : in std_logic_vector(7 downto 0);
inptout : out std_logic_vector(7 downto 0);
cordout : out std_logic_vector(7 downto 0);
cishu: out std_logic_vector(3 downto 0);
succes: out std_logic;
bj: out std_logic);
end entity;
architecture one of mmlock is
signal cord1 : std_logic_vector (7 downto 0);
signal cishu1 : std_logic_vector(3 downto 0);
signal succes1 : std_logic ;
signal bj1 : std_logic ;
begin
u1: process(load,cord)
begin
if(load'event and load = '1') then
cord1 <= cord;
end if;
end process;
u2: process(rst,clk,inpt,cord1)

begin
if(rst = '1') then
cishu1 <= "0000";
bj1 <= '0';
succes1 <= '0';

else if(clk'event and clk = '1') then
if(inpt /= cord1) then
succes1 <= '0';
bj1 <='0';
cishu1 <= cishu1 + 1;
else
if(cishu1 /= 0 and cishu1 /= 1 and cishu1 /= 2 and cishu1 /= 3 ) then
bj1 <= '1';
succes1 <= '0';
else
succes1 <= '1';
bj1 <= '0';
cishu1 <= "0000";
end if;
end if;
end if;
end if;
end process;
inptout <= inpt;
cordout <= cord;
bj <= bj1;
succes <= succes1;
cishu <= cishu1;

end architecture;

Quartus II\u652f\u6301\u7684FPGA\u82af\u7247\u591a\u4e8eMaxPlus II\uff0c\u4f30\u8ba1\u662f\u5728Quartus II\u4e0a\u7f16\u8bd1\u65f6\u6240\u9009\u7528\u7684FPGA\u82af\u7247MaxPlus II\u4e0d\u652f\u6301\u3002\u5728MaxPlus II\u4e2d\u6362\u4e2aFPGA\u82af\u7247\u8bd5\u8bd5\u3002

八个开关设计4*4也简单,每个开关用两次,8个就可以当做16个来用。
举个栗子:
第一个开关,按一次系统就判定成数字1,按两次就判定成9。以此类推。
这样的话就直接把别人4*4代码替换进去,前面加一个检测按键次数的代码来判断按一次跟按两次就可以。

单片机的 电子密码锁 我会。。。。。。
FPGA的。。。我不行。。。。。

开发板上面应该有外扩的 排插 或者 排槽 。 你自己做一个4*4的键盘,通过那些排插排槽扩展出来吧。然后VHDL语言 挺简单的 ,就是我不会。。。。。

代做毕业设计 51,AVR;STM32;NXP ARM7 毕业设计。。

进度目标按期实现的重要前提是进度控制,如果项目建设进度不能按审批后的计划实施而又未进行有效的控制,业主投资前期预定的项目目标,即工期、质量及投资等,将难以实现。项目的进度、质量和成本三项目标控制关系是相互影响和统一的。

可以好

如果,可以好能力好

比较能力好可以忽视

扩展阅读:fpga密码锁verilog ... 保险柜电子密码锁 ... 基于fpga的数字密码锁 ... fpga密码锁课程设计 ... 基于fpga的指纹密码锁 ... fpga数字密码锁设计 ... 基于fpga的密码锁设计 ... fpga密码锁引脚 ... fpga简易四位数字密码锁 ...

本站交流只代表网友个人观点,与本站立场无关
欢迎反馈与建议,请联系电邮
2024© 车视网