电子密码锁文献综述 做《基于fpga电子密码锁设计》要求写文献综述,麻烦各位帮帮...

\u57fa\u4e8e\u5355\u7247\u673a\u7684\u7535\u5b50\u5bc6\u7801\u9501\u7684\u6587\u732e\u7efc\u8ff0

\u4f60\u8fd8\u8981\u5b9e\u7269\u4e0d?

\u6309\u7167\u4e0b\u9762\u7684\u683c\u5f0f\u586b\u7a7a\u5c31\u884c\uff0c\u5185\u5bb9\u5728\u767e\u5ea6\u6587\u5e93\u627e\u6587\u732e\u7efc\u8ff0\u683c\u5f0f\u4e00\u822c\u5305\u62ec\uff1a\u6587\u732e\u7efc\u8ff0\u7684\u5f15\u8a00\uff1a\u5305\u62ec\u64b0\u5199\u6587\u732e\u7efc\u8ff0\u7684\u539f\u56e0\u3001\u610f\u4e49\u3001\u6587\u732e\u7684\u8303\u56f4\u3001\u6b63\u6587\u7684\u6807\u9898\u53ca\u57fa\u672c\u5185\u5bb9\u63d0\u8981\uff1b
\u6587\u732e\u7efc\u8ff0\u7684\u6b63\u6587\uff1a
\u662f\u6587\u732e\u7efc\u8ff0\u7684\u4e3b\u8981\u5185\u5bb9\uff0c\u5305\u62ec\u67d0\u4e00\u8bfe\u9898\u7814\u7a76\u7684\u5386\u53f2 (\u5bfb\u6c42\u7814\u7a76\u95ee\u9898\u7684\u53d1\u5c55\u5386\u7a0b)\u3001\u73b0\u72b6\u3001\u57fa\u672c\u5185\u5bb9 (\u5bfb\u6c42\u8ba4\u8bc6\u7684\u8fdb\u6b65)\uff0c \u7814\u7a76\u65b9\u6cd5\u7684\u5206\u6790(\u5bfb\u6c42\u7814\u7a76\u65b9\u6cd5\u7684\u501f\u9274)\uff0c\u5df2\u89e3\u51b3\u7684\u95ee\u9898\u548c\u5c1a\u5b58\u7684\u95ee\u9898\uff0c\u91cd\u70b9\u3001\u8be6\u5c3d\u5730\u9610\u8ff0\u5bf9\u5f53\u524d\u7684\u5f71\u54cd\u53ca\u53d1\u5c55\u8d8b\u52bf\uff0c\u8fd9\u6837\u4e0d\u4f46\u53ef\u4ee5\u4f7f\u7814\u7a76\u8005\u786e\u5b9a\u7814\u7a76\u65b9\u5411\uff0c\u800c\u4e14\u4fbf\u4e8e\u4ed6\u4eba\u4e86\u89e3\u8be5\u8bfe\u9898\u7814\u7a76\u7684\u8d77\u70b9\u548c\u5207\u5165\u70b9\uff0c\u662f\u5728\u4ed6\u4eba\u7814\u7a76\u7684\u57fa\u7840\u4e0a\u6709\u6240\u521b\u65b0\uff1b
\u6587\u732e\u7efc\u8ff0\u7684\u7ed3\u8bba\uff1a
\u6587\u732e\u7814\u7a76\u7684\u7ed3\u8bba\uff0c\u6982\u62ec\u6307\u51fa\u81ea\u5df1\u5bf9\u8be5\u8bfe\u9898\u7684\u7814\u7a76\u610f\u89c1\uff0c\u5b58\u5728\u7684\u4e0d\u540c\u610f\u89c1\u548c\u6709\u5f85\u89e3\u51b3\u7684\u95ee\u9898\u7b49\uff1b
\u6587\u732e\u7efc\u8ff0\u7684\u9644\u5f55\uff1a
\u5217\u51fa\u53c2\u8003\u6587\u732e\uff0c\u8bf4\u660e\u6587\u732e\u7efc\u8ff0\u6240\u4f9d\u636e\u7684\u8d44\u6599\uff0c\u589e\u52a0\u7efc\u8ff0\u7684\u53ef\u4fe1\u5ea6\uff0c\u4fbf\u4e8e\u8bfb\u8005\u8fdb\u4e00\u6b65\u68c0\u7d22\u3002
\u6ce8\u610f
1\u6587\u732e\u7efc\u8ff0\u4e0d\u5e94\u662f\u5bf9\u5df2\u6709\u6587\u732e\u7684\u91cd\u590d\u3001\u7f57\u5217\u548c\u4e00\u822c\u6027\u4ecb\u7ecd\uff0c\u800c\u5e94\u662f\u5bf9\u4ee5\u5f80\u7814\u7a76\u7684\u4f18\u70b9\u3001\u4e0d\u8db3\u548c\u8d21\u732e\u7684\u6279\u5224\u6027\u5206\u6790\u4e0e\u8bc4\u8bba\u3002\u56e0\u6b64\uff0c\u6587\u732e\u7efc\u8ff0\u5e94\u5305\u62ec\u7efc\u5408\u63d0\u70bc\u548c\u5206\u6790\u8bc4\u8bba\u53cc\u91cd\u542b\u4e49
2\u6587\u732e\u7efc\u8ff0\u8981\u6587\u5b57\u7b80\u6d01\uff0c\u5c3d\u91cf\u907f\u514d\u5927\u91cf\u5f15\u7528\u539f\u6587\uff0c\u8981\u7528\u81ea\u5df1\u7684\u8bed\u8a00\u628a\u4f5c\u8005\u7684\u89c2\u70b9\u8bf4\u6e05\u695a\uff0c\u4ece\u539f\u59cb\u6587\u732e\u4e2d\u5f97\u51fa\u4e00\u822c\u6027\u7ed3\u8bba
3\u6587\u732e\u7efc\u8ff0\u4e0d\u662f\u8d44\u6599\u5e93\uff0c\u8981\u7d27\u7d27\u56f4\u7ed5\u8bfe\u9898\u7814\u7a76\u7684\u201c\u95ee\u9898\u201d\uff0c\u786e\u4fdd\u6240\u8ff0\u7684\u5df2\u6709\u7814\u7a76\u6210\u679c\u4e0e\u672c\u8bfe\u9898\u7814\u7a76\u76f4\u63a5\u76f8\u5173\uff0c\u5176\u5185\u5bb9\u662f\u56f4\u7ed5\u8bfe\u9898\u7d27\u5bc6\u7ec4\u7ec7\u5728\u4e00\u8d77\uff0c\u65e2\u80fd\u7cfb\u7edf\u5168\u9762\u5730\u53cd\u6620\u7814\u7a76\u5bf9\u8c61\u7684\u5386\u53f2\u3001\u73b0\u72b6\u548c\u8d8b\u52bf\uff0c\u53c8\u80fd\u53cd\u6620\u7814\u7a76\u5185\u5bb9\u7684\u5404\u4e2a\u65b9\u9762\u3002
4\u6587\u732e\u7efc\u8ff0\u7684\u7efc\u8ff0\u8981\u5168\u9762\u3001\u51c6\u786e\u3001\u5ba2\u89c2\uff0c\u7528\u4e8e\u8bc4\u8bba\u7684\u89c2\u70b9\u3001\u8bba\u636e\u6700\u597d\u6765\u81ea\u4e00\u6b21\u6587\u732e\uff0c\u5c3d\u91cf\u907f\u514d\u4f7f\u7528\u522b\u4eba\u5bf9\u539f\u59cb\u6587\u732e\u7684\u89e3\u91ca\u6216\u7efc\u8ff0\u3002

关键词:电子密码锁;FPGA;硬件描述语言;EDA

目 录
1 绪 论 1
1.1 国内外现状及其发展 1
1.2 电子密码锁的原理 2
1.3 电子密码锁的系统简介 4
1.4 系统设计要求 4
1.5 本课题的研究目的和意义 5
2 现场可编程门阵列FPGA 6
2.1 FPGA的基本结构 6
2.2 FPGA的优点 10
2.3 FPGA的设计流程 11
2.4 自顶向下设计法 17
2.5用模块化设计FPGA 18
3 VHDL硬件描述语言 20
3.1 VHDL语言的基本结构 20
3.2 结构体的描述方式 21
3.3 自上而下(TOP DOWN)的设计方法 22
4 电子密码锁的设计与仿真 24
4.1 硬件设备 24
4.2 几个主要功能模块的设计 25
4.3 计算机仿真 32
结 束 语 38
参考文献 39
附录1英文原文 41
附录2中文译文 50
附录3源程序 57

1.1 国内外现状及其发展
随着人们生活水平的提高和安全意识的加强,对安全的要求也就越来越高。锁自古以来就是把守护门的铁将军,人们对它要求甚高,既要安全可靠的防盗,又要使用方便,这也是制锁者长期以来研制的主题。随着电子技术的发展,各类电子产品应运而生,电子密码锁就是其中之一。据有关资料介绍,电子密码锁的研究从20世纪30年代就开始了,在一些特殊场所早就有所应用。这种锁是通过键盘输入一组密码完成开锁过程。研究这种锁的初衷,就是为提高锁的安全性。由于电子锁的密钥量(密码量)极大,可以与机械锁配合使用,并且可以避免因钥匙被仿制而留下安全隐患。电子锁只需记住一组密码,无需携带金属钥匙,免除了人们携带金属钥匙的烦恼,而被越来越多的人所欣赏。电子锁的种类繁多,例如数码锁,指纹锁,磁卡锁,IC卡锁,生物锁等。但较实用的还是按键式电子密码锁。

20世纪80年代后,随着电子锁专用集成电路的出现,电子锁的体积缩小,可靠性提高,成本较高,是适合使用在安全性要求较高的场合,且需要有电源提供能量,使用还局限在一定范围,难以普及,所以对它的研究一直没有明显进展。

目前,在西方发达国家,电子密码锁技术相对先进,种类齐全,电子密码锁已被广泛应用于智能门禁系统中,通过多种更加安全,更加可靠的技术实现大门的管理。在我国电子锁整体水平尚处于国际上70年代左右,电子密码锁的成本还很高,市场上仍以按键电子锁为主,按键式和卡片钥匙式电子锁已引进国际先进水平,现国内有几个厂生产供应市场。但国内自行研制开发的电子锁,其市场结构尚未形成,应用还不广泛。国内的不少企业也引进了世界上先进的技术,发展前景非常可观。希望通过不断的努力,使电子密码锁在我国也能得到广泛应用[1]。

目前使用的电子密码锁大部分是基于单片机技术,以单片机为主要器件,其编码器与解码器的生成为软件方式[2]。在实际应用中,由于程序容易跑飞,系统的可靠性能较差。基于现场可编程逻辑门阵列FPGA器件的电子密码锁,用FPGA器件构造系统,所有算法完全由硬件电路来实现,使得系统的工作可靠性大为提高。由于FPGA具有现场可编程功能,当设计需要更改时,只需更改FPGA中的控制和接口电路,利用EDA工具将更新后的设计下载到FPGA中即可,无需更改外部电路的设计,大大提高了设计的效率。

1.3 电子密码锁的系统简介
通用的电子密码锁主要由三个部分组成:数字密码输入电路、密码锁控制电路和密码锁显示电路。

(1) 密码锁输入电路包括时序产生电路、键盘扫描电路、键盘弹跳消除电路、键盘译码电路等几个小的功能电路。

(2)密码锁控制电路包括按键数据的缓冲存储电路,密码的清除、变更、存储、激活电锁电路(寄存器清除信号发生电路),密码核对(数值比较电路),解锁电路(开/关门锁电路)等几个小的功能电路。

(3)密码显示电路主要将显示数据的BCD码转换成相对应的编码。如,若选用七段数码管显示电路,主要将待显示数据的BCD码转换成数码器的七段显示驱动编码[4]。

1.4 系统设计要求
设计一个具有较高安全性和较低成本的通用电子密码锁,具体功能要求如下:

(1)数码输入:每按下一个数字键,就输入一个数值,并在显示器上的显示出该数值,同时将先前输入的数据依序左移一个数字位置。

(2)数码清除:按下此键可清除前面所有的输入值,清除为“0000”。

(3)密码更改:按下此键时会将目前的数字设定成新的密码。

(4)激活电锁:按下此键可将密码锁上锁。

(5)解除电锁:按下此键会检查输入的密码是否正确,密码正确即开锁。

1.5 本课题的研究目的和意义
随着人们生活水平的提高,对家庭防盗技术的要求也是越来越高,传统的机械锁由于其构造的简单,被撬的事件屡见不鲜,电子锁由于其保密性高,使用灵活性好,安全系数高,受到了广大用户的欢迎。现在市场上主要是基于单片机技术的电子密码锁,但可靠性较差。FPGA即现场可编程门阵列,它是在PAL、GAL、EPLD等可编程器件的基础上进一步发展的产物,是一种超大规模集成电路,具有对电路可重配置能力。通常FPGA都有着上万次的重写次数,也就是说现在的硬件设计和软件设计一样灵活、方便。相对于基于单片机技术的电子密码锁,用FPGA器件来构成系统,可靠性提高,并且由于FPGA具有的现场可编程功能,使得电子密码锁的更改与升级更为方便简单[3]。

通过本次设计掌握FPGA系统设计的方法,熟悉FPGA设计的相关软件,以及硬件描述语言的使用,了解电子密码锁的系统构成,利用FPGA实现电子密码锁的设计与实现,可以加深自己对所学专业的认识,关联知识,增强自己的动手能力,积累实践经验,为以后的工作打好基础。

参考文献
[1] 李连华.基于FPGA的电子密码锁设计.中国科技信息,2006,(01):64

[2] 许琦.基于FPGA的电子密码锁的设计.科技信息,2006,(10):240-241

[3] 王卫兵,刘克刚,朱秋萍.用FPGA的电子密码锁.电子技术,2005,(01):26-28

[4] 赵益丹,徐晓林,周振峰.电子密码锁的系统原理、设计程序及流程图.嘉兴学院学报,2003,15(S1):103-105

[5] 陆重阳,卢东华.FPGA技术及其发展趋势.微电子技术,2003,(01):5-7

[6] 王晓勇.FPGA的基本原理及运用.舰船电子工程,2005,(02):82-85

[7] 程耀林.FPGA的系统设计方法解析.现代电子技术,2005,(19):90-93

  • 鐢靛瓙瀵嗙爜閿佹枃鐚患杩
    绛旓細鐩稿浜庡熀浜庡崟鐗囨満鎶鏈殑鐢靛瓙瀵嗙爜閿锛岀敤FPGA鍣ㄤ欢鏉ユ瀯鎴愮郴缁燂紝鍙潬鎬ф彁楂橈紝骞朵笖鐢变簬FPGA鍏锋湁鐨勭幇鍦哄彲缂栫▼鍔熻兘锛屼娇寰楃數瀛愬瘑鐮侀攣鐨勬洿鏀逛笌鍗囩骇鏇翠负鏂逛究绠鍗昜3]銆傞氳繃鏈璁捐鎺屾彙FPGA绯荤粺璁捐鐨勬柟娉曪紝鐔熸倝FPGA璁捐鐨勭浉鍏宠蒋浠讹紝浠ュ強纭欢鎻忚堪璇█鐨勪娇鐢紝浜嗚В鐢靛瓙瀵嗙爜閿佺殑绯荤粺鏋勬垚锛屽埄鐢‵PGA瀹炵幇鐢靛瓙瀵嗙爜閿佺殑璁捐涓庡疄鐜...
  • 鐢靛瓙鎶鏈鏂囩尞缁艰堪
    绛旓細闅忕潃绀句細鐗╄川璐㈠瘜鐨勬棩鐩婂闀,瀹夊叏闃茬洍宸叉垚涓哄叏绀句細鐨勯棶棰,寮瑰瓙閿佺敱浜庣粨鏋勪笂鐨勫眬闄愬凡闅句互婊¤冻褰撳墠绀句細绠$悊鍜岄槻鐩楄姹,鐢靛瓙瀵嗙爜鐢变簬鍏惰嚜韬殑浼樺娍,瓒婃潵瓒婂彈鍒颁汉浠殑闈掔潗,浣嗘槸鐩墠浣跨敤鐨鐢靛瓙瀵嗙爜閿澶ч儴鍒嗘槸鍩轰簬鍗曠墖鏈虹敤鍒嗙鍏冧欢瀹炵幇鐨,鍏舵垚鏈緝楂樹笖鍙潬鎬т笉鑳藉緱浠ヤ繚璇併傚熀浜庢濂逛粙缁嶄簡涓绉嶅埄鐢‥DA鎶鏈拰VHDL璇█,鍦∕AX+PLUS2...
  • 鐢靛瓙瀵嗙爜閿鐨勮璁$爺绌跺紑棰樻姤鍛
    绛旓細浜屻鏂囩尞缁艰堪鍐呭(鍦ㄥ厖鍒嗘敹闆嗙爺绌朵富棰樼浉鍏宠祫鏂欑殑鍩虹涓,鍒嗘瀽鍥藉唴澶栫爺绌剁幇鐘,鎻愬嚭闂,鎵惧埌鐮旂┒涓婚鐨勫垏鍏ョ偣,闄勪富瑕佸弬鑰冩枃鐚) 鏃╁湪80骞翠唬,鏃ユ湰鐢熶骇浜嗘渶鏃╃殑鐢靛瓙瀵嗙爜閿,闅忕潃缁忔祹澶嶈嫃,鐢靛瓙琛屼笟寰楀埌蹇熷彂灞,涓浜涗娇鐢ㄩ棬鐢佃矾璁捐鐨勭畝鍗曠數璺瘑鐮侀攣鍑虹幇浜嗐傚埌浜90骞翠唬,缇庡浗銆佹剰澶у埄銆佸痉鍥姐佹棩鏈瓑鍦扮殑寰數瀛愭妧鏈殑杩涙鍜岄氫俊鎶...
  • 璁烘枃绛旇京鐨勮瘎璇
    绛旓細1銆***鐨勮鏂囥***銆嬪湪姒傝堪鐩稿叧瀛︽湳鏂囩尞涓庡姩鎬佺殑鍩虹涓,鍥寸粫***闂杩涜娣卞叆鎺㈣銆傝閫夐鍏锋湁鏄庣‘鐨勭悊璁轰环鍊煎拰鐜板疄鎰忎箟銆 2銆佽绡囪鏂囩殑鏂囩尞缁艰堪閮ㄥ垎鏈夎冻澶熺殑鏂囩尞闃呰閲,鍥藉唴澶栫爺绌跺姩鎬佹槑纭,鑳藉鍙嶆槧鍑烘湰棰嗗煙鍥藉唴澶栫爺绌剁殑鐜扮姸銆 3銆佽绡囪鏂囪皟鐮斿叏闈,鍒嗘瀽***鏃舵墍浣滃伐浣滈噺楗辨弧銆傚垎鏋***,鏁版嵁涓板瘜璇﹀疄銆傛瀯寤***...
  • 鏁欒偛璁烘枃閴村畾璇勮
    绛旓細9.鐜嬮攼鍚屽鐨勮鏂囥婂熀浜嶧PGA鎶鏈殑鐢靛瓙瀵嗙爜閿銆,瀹屾垚浜嗕换鍔′功鎵瑙勫畾鍦扮爺绌(璁捐)浠诲姟銆傝鏂囬噰鐢‥DA鎶鏈氳繃鑷《鍚戜笅鐨勮璁℃柟娉曞鏁板瓧瀵嗙爜閿佽繘琛屼簡璁捐,鎻忚堪浜嗘暟瀛楀瘑鐮侀攣鐨勬讳綋缁撴瀯銆佷富瑕佸姛鑳姐佽璁℃祦绋嬨佹ā鍧楀垝鍒嗗強鎬讳綋鍜屽悇妯″潡鐨刅HDL婧愮▼搴,骞朵笖缁欏嚭浜嗘暟瀛楀瘑鐮侀攣璁捐鐨勪豢鐪熺粨鏋溿傚璇祫鏂欑炕璇戝皻鍙,璁烘枃鏍煎紡鍩烘湰瑙勮寖,璁...
  • 姣曚笟璁烘枃寮棰樻姤鍛---鎬
    绛旓細224.鐢靛瓙瀵嗙爜閿鐨勭數璺璁′笌鍒朵綔225.鍗曠墖鏈烘帶鍒剁數姊郴缁熺殑璁捐226.甯哥敤鐢靛櫒缁翠慨鏂规硶缁艰堪227.鎺у埗寮忔櫤鑳借鐑〃鐨勮璁228.鐢靛瓙鎸囧崡閽堣璁229.姹借溅闃叉挒涓绘帶绯荤粺璁捐230.鍗曠墖鏈虹殑鏅鸿兘鐢垫簮绠$悊绯荤粺231.鐢靛姏鐢靛瓙鎶鏈湪缁胯壊鐓ф槑鐢佃矾涓殑搴旂敤232.鐢垫皵鐏伨鑷姩淇濇姢鍨嬫柇璺櫒鐨勮璁233.鍩轰簬鍗曠墖鏈虹殑澶氬姛鑳芥櫤鑳藉皬杞﹁璁234.瀵规紡鐢典繚鎶ゅ櫒...
  • 鍏充簬FPGA鐨鐢靛瓙瀵嗙爜閿鐨勮璁 姹備竴浠藉紑棰樻姤鍛
    绛旓細鍙傝鏂囩尞[1] 鏉庤繛鍗.鍩轰簬FPGA鐨鐢靛瓙瀵嗙爜閿璁捐.涓浗绉戞妧淇℃伅,2006,(01):64[2] 璁哥惁.鍩轰簬FPGA鐨勭數瀛愬瘑鐮侀攣鐨勮璁.绉戞妧淇℃伅,2006,(10):240-241[3] 鐜嬪崼鍏,鍒樺厠鍒,鏈辩钀.鐢‵PGA鐨勭數瀛愬瘑鐮侀攣.鐢靛瓙鎶鏈,2005,(01):26-28[4] 璧电泭涓,寰愭檽鏋,鍛ㄦ尟宄.鐢靛瓙瀵嗙爜閿佺殑绯荤粺鍘熺悊銆佽璁$▼搴忓強娴佺▼鍥.鍢夊叴瀛﹂櫌瀛︽姤,2003,...
  • 绛旇京璇勮澶у叏
    绛旓細绛旇京灏忕粍閫氳繃瀵圭帇閿愬悓瀛︾殑璁烘枃銆婂熀浜嶧PGA鎶鏈殑鐢靛瓙瀵嗙爜閿銆嬬殑瀹℃牳,璁や负璇ヨ鏂囬夐鍏锋湁涓瀹氱殑鐮旂┒浠峰,浣滆呭叿鏈変竴瀹氱殑闃呰鍙傝冭祫鏂欑殑鑳藉姏,璁や负瀹屾垚浜嗘瘯涓氳鏂囦换鍔′功鎵瑙勫畾鐨勫唴瀹,琛屾枃鍩烘湰娴佺晠,绛旇京鏃惰兘杈冩瘮杈冩纭湴鍥炵瓟闂銆傛湰鏂囧皻瀛樺湪鍏ㄧ瘒缁撴瀯涓嶅鍚堢悊銆佹病鏈夊畬鍏ㄥ疄鐜扮瓑缂洪櫡銆 缁忕瓟杈╁皬缁勮璁,绛旇京鎴愮哗瀹氫负涓瓑銆 4銆...
  • 扩展阅读:文献综述ai生成免费 ... 文献综述万能模板 ... 参考文献一键生成 ... 电子密码锁文献摘要 ... 简易密码锁参考文献 ... 电子密码锁设计论文 ... 门上的密码锁重设密码 ... 自制简易小型密码锁 ... 电子密码锁国内外发展 ...

    本站交流只代表网友个人观点,与本站立场无关
    欢迎反馈与建议,请联系电邮
    2024© 车视网