单片机知识!!! 单片机知识

\u5355\u7247\u673a\u77e5\u8bc6\uff0c\u6025\uff01\uff01

\u697c\u4e0a\u6709\u51e0\u4e2a\u9519\u8bef\uff0c\u6539\u6b63\u4e00\u4e0b\uff1a
\u4e00\u3001\u586b\u7a7a\u9898\uff0816\u5206\uff0c\u6bcf\u98982\u5206\uff09
1\u3001\u5355\u7247\u673a\u4e0e\u666e\u901a\u8ba1\u7b97\u673a\u7684\u4e0d\u540c\u4e4b\u5904\u5728\u4e8e\u5176\u5c06\uff08 cpu \uff09\u3001\uff08RAM \uff09\u3001I/O\u53e3(\u8f93\u5165/\u8f93\u51fa\u63a5\u53e3) \u3001ROM(\u7a0b\u5e8f\u5b58\u50a8\u5668)\u96c6\u6210\u4e8e\u4e00\u5757\u82af\u7247\u4e0a\u3002
2\u3001\u5355\u7247\u673a\u5de5\u4f5c\u65b9\u5f0f\u9664\u4e86\u6b63\u5e38\u7684\u64cd\u4f5c\u65b9\u5f0f\u5916\uff0c\u8fd8\u5177\u6709\u6389\u7535\u8fd0\u884c\u65b9\u5f0f\u548c\uff08 \u5f85\u673a \uff09\u8fd0\u884c\u65b9\u5f0f\uff0c\u5176\u6389\u7535\u8fd0\u884c\u65b9\u5f0f\u5524\u9192\u65b9\u6cd5\u4e3a\uff08\u786c\u4ef6\u590d\u4f4d \uff09\u3002
3\u3001\u5f53\u5355\u7247\u673a\u590d\u4f4d\u65f6PSW\uff1d\uff08 00\uff09H\uff0cSP=\uff0807 \uff09H\u3002
4\u3001\u4e32\u884c\u53e3\u65b9\u5f0f3\u53d1\u9001\u7684\u7b2c9\u4f4d\u6570\u636e\u8981\u4e8b\u5148\u5199\u5165\uff08 SCON \uff09\u5bc4\u5b58\u5668\u7684\uff08 TB8 \uff09\u4f4d\u3002
5\u3001MCS-51\u7684\u5e76\u884cI/O\u53e3\u4fe1\u606f\u6709\uff08 \u8bfb\u7aef\u53e3 \uff09\u548c\uff08\u8bfb\u5f15\u811a \uff09\u4e8c\u79cd\u8bfb\u53d6\u65b9\u6cd5\uff0c\u8bfb-\u6539-\u5199\u64cd\u4f5c\u662f\u9488\u5bf9\u5e76\u884cI/O\u53e3\u5185\u7684\u9501\u5b58\u5668\u8fdb\u884c\u7684\u3002
6\u3001(A)= 85H,(R0)=20H,\uff0820H\uff09=AFH\uff0c\u6267\u884c\u6307\u4ee4\uff1aADD A,@R0 \u7ed3\u679c\u4e3a: Cy=1\uff0cAc=\uff081 \uff09\uff0cOV=1\uff0cP=\uff08 1 \uff09\u3002
7\u3001\u82e5\uff08IP\uff09=00010100B\uff0c\u5219\u4f18\u5148\u7ea7\u6700\u9ad8\u8005\u4e3a\uff08PX1 \uff09\uff0c\u6700\u4f4e\u8005\u4e3a\uff08PT1 \uff09\u3002
\uff08IP\uff1a\u2014 \u2014 \u2014 PS PT1 PX1 PT0 PX0\uff09
8\u3001\u603b\u7ebf\u9a71\u52a8\u566874LS244\u548c74LS245\u7ecf\u5e38\u7528\u4f5c\u4e09\u6001\u6570\u636e\u7f13\u51b2\u5668\uff0c \uff08 244 \uff09\u4e3a\u5355\u5411\u4e09\u6001\u6570\u636e\u7f13\u51b2\u5668\uff0c\u800c\uff08 245 \uff09\u4e3a\u53cc\u5411\u4e09\u6001\u6570\u636e\u7f13\u51b2\u5668\u3002

\u4e8c\u3001\u5224\u65ad\u5bf9\u9519\uff0c\u5982\u5bf9\u5219\u5728\uff08 \uff09\u4e2d\u5199\u201c\u221a\u201d\uff0c\u5982\u9519\u5219\u5728\uff08 \uff09\u4e2d\u5199\u201c\u00d7\u201d\u3002\uff088\u5206 \u6bcf\u98981\u5206\uff09
1\u3001MCS-51\u5355\u7247\u673a\u53ef\u6267\u884c\u6307\u4ee4\uff1aMOV R2\uff0c@R1\u3002\uff08 X \uff09
2\u3001MCS-51\u5355\u7247\u673a\u53ef\u6267\u884c\u6307\u4ee4\uff1aMOVC A\uff0c@DPTR\u3002\uff08 x \uff09
3\u3001\u591a\u5b57\u8282\u7684\u6307\u4ee4\u4e0d\u4e00\u5b9a\u6267\u884c\u7684\u6307\u4ee4\u5468\u671f\u957f\u3002\uff08 \u221a \uff09
4\u3001\u5f53\u5411\u5806\u6808\u538b\u5165\u4e00\u4e2a\u5b57\u8282\u7684\u6570\u636e\u540e\uff0cSP\u4e2d\u7684\u5185\u5bb9\u51cf1\u3002\uff08 x \uff09
5\u3001\u7279\u6b8a\u529f\u80fd\u5bc4\u5b58\u5668\u53ef\u4ee5\u7528\u95f4\u63a5\u5bfb\u5740\u65b9\u5f0f\u5bfb\u5740\u3002\uff08X \uff09
6\u3001\u5f53P0\u53e3\u4f5c\u4e3a\u8f93\u51fa\u53e3\u4f7f\u7528\u65f6\uff0c\u5fc5\u987b\u5916\u63a5\u4e0a\u62c9\u7535\u963b\u624d\u80fd\u6709\u9ad8\u7535\u5e73\u8f93\u51fa\u3002\uff08\u221a \uff09
7\u3001\u540c\u4e00\u65f6\u95f4\u540c\u4e00\u7ea7\u522b\u7684\u591a\u4e2d\u65ad\u8bf7\u6c42\uff0c\u5c06\u5f62\u6210\u963b\u585e\uff0c\u7cfb\u7edf\u65e0\u6cd5\u54cd\u5e94\u3002\uff08 x \uff09
8\u3001\u533a\u5206\u5916\u90e8\u7a0b\u5e8f\u5b58\u50a8\u5668\u548c\u6570\u636e\u5b58\u50a8\u5668\u7684\u6700\u53ef\u9760\u7684\u65b9\u6cd5\u662f\u770b\u5176\u662f\u88ab \u8fd8\u662f\u88ab
\u4fe1\u53f7\u8fde\u63a5\u3002\uff08 x \uff09?

\u4e09\u3001\u5355\u9879\u9009\u62e9\u9898\uff0816\u5206 \u6bcf\u98982\u5206\uff09
1\u3001 8051\u4e0e8052\u7684\u533a\u522b\u662f\uff1a\uff08 D \uff09
\uff08A\uff09\u6709\u65e0\u5185\u90e8\u7a0b\u5e8f\u5b58\u50a8\u5668 \uff08B\uff09\u5185\u90e8\u6570\u636e\u5b58\u50a8\u5668\u7684\u7c7b\u578b\u4e0d\u540c
\uff08C\uff09\u5185\u90e8\u7a0b\u5e8f\u5b58\u50a8\u5668\u7684\u7c7b\u578b\u4e0d\u540c \uff08D\uff09\u5185\u90e8\u6570\u636e\u5b58\u50a8\u5355\u5143\u6570\u76ee\u7684\u4e0d\u540c
2\u3001\u5728CPU\u5185\u90e8\uff0c\u53cd\u6620\u7a0b\u5e8f\u8fd0\u884c\u72b6\u6001\u6216\u53cd\u6620\u8fd0\u7b97\u7ed3\u679c\u7684\u7279\u5f81\u5bc4\u5b58\u5668\u662f\uff08A\uff09\u3002
\uff08A\uff09PSW \uff08B\uff09PC \uff08C\uff09A \uff08D\uff09SP
3\u3001\u8bbf\u95ee\u5916\u90e8\u6570\u636e\u5b58\u50a8\u5668\u65f6\uff0c\u4e0d\u8d77\u4f5c\u7528\u7684\u4fe1\u53f7\u662f\uff08 C \uff09\u3002
\uff08A\uff09 RD \uff08B\uff09 WR \uff08C\uff09 PSEN \uff08D\uff09ALE
4\u3001AJMP\u6307\u4ee4\u7684\u8df3\u8f6c\u8303\u56f4\u662f\uff08 a \uff09\u3002
\uff08A\uff092KB \uff08B\uff091KB \uff08C\uff09256 \uff08D\uff0964KB
5\u3001\u4e0b\u5217\u6307\u4ee4\u4e2d\u6b63\u786e\u7684\u662f\uff08 b \uff09\u3002
\uff08A\uff09MOV P2.1\uff0cA \uff08B\uff09JBC TF0\uff0cL1
\uff08C\uff09MOVX B\uff0c@DPTR \uff08D\uff09MOV A\uff0c@R3
6\u3001MCS\uff0d51\u5355\u7247\u673a\u6269\u5c55\u5916\u90e8\u7a0b\u5e8f\u5b58\u50a8\u5668\u548c\u6570\u636e\u5b58\u50a8\u5668\uff08 B \uff09\u3002
\uff08A\uff09\u5206\u522b\u72ec\u7acb\u7f16\u5740 \uff08B\uff09\u7edf\u4e00\u7f16\u5740
\uff08C\uff09\u5206\u522b\u72ec\u7acb\u7f16\u5740\u6216\u7edf\u4e00\u7f16\u5740 \uff08D\uff09\u52a8\u6001\u53d8\u5740
7\u3001\u5728MCS-51\u4e2d\uff0c\u9700\u8981\u5916\u52a0\u7535\u8def\u5b9e\u73b0\u4e2d\u65ad\u64a4\u9664\u7684\u662f:\uff08 a \uff09
\uff08A\uff09 \u7535\u5e73\u65b9\u5f0f\u7684\u5916\u90e8\u4e2d\u65ad \uff08B\uff09 \u8109\u51b2\u65b9\u5f0f\u7684\u5916\u90e8\u4e2d\u65ad
\uff08C\uff09 \u5916\u90e8\u4e32\u884c\u4e2d\u65ad \uff08D\uff09 \u5b9a\u65f6\u4e2d\u65ad
8\u3001\u901a\u8fc7\u4e32\u884c\u53e3\u53d1\u9001\u6216\u63a5\u6536\u6570\u636e\u65f6\uff0c\u5728\u7a0b\u5e8f\u4e2d\u5e94\u4f7f\u7528\uff1a\uff08 c \uff09\u6307\u4ee4
\uff08A\uff09MOVC\uff08B\uff09MOVX \uff08C\uff09MOV \uff08D\uff09XCHD

\u56db\u3001\u7b80\u7b54\u9898\uff0810\u5206 \u6bcf\u98985\u5206\uff09
1\u3001\u5185\u90e8RAM\u4e2d\uff0c\u54ea\u4e9b\u5355\u5143\u53ef\u4f5c\u4e3a\u5de5\u4f5c\u5bc4\u5b58\u5668\u533a\uff0c\u54ea\u4e9b\u5355\u5143\u53ef\u4ee5\u8fdb\u884c\u4f4d\u5bfb\u5740\uff1f\u5199\u5b83\u4eec\u7684\u5b57\u8282\u5730\u5740\uff1f
\uff1a00H-1FH \u51714\u7ec4\u5de5\u4f5c\u5bc4\u5b58\u5668\uff0c20H-2FH\u5171128\u4f4d \u4f4d\u5bfb\u5740\u5355\u5143
2\u3001\u5199\u51faMCS-51\u7684\u6240\u6709\u4e2d\u65ad\u6e90\uff0c\u5e76\u8bf4\u660e\u54ea\u4e9b\u4e2d\u65ad\u6e90\u5728\u54cd\u5e94\u4e2d\u65ad\u65f6\uff0c\u7531\u786c\u4ef6\u81ea\u52a8\u6e05\u9664\uff0c\u54ea\u4e9b\u4e2d\u65ad\u6e90\u5fc5\u987b\u7528\u8f6f\u4ef6\u6e05\u9664\uff0c\u4e3a\u4ec0\u4e48\uff1f
\uff1a1\u5916\u90e8\u4e2d\u65ad0\uff0c\u786c\u4ef6\u6e05\u9664\uff0c2\u5b9a\u65f6\u56680\u4e2d\u65ad\uff0c\u786c\u4ef6\uff0c3\u5916\u90e8\u4e2d\u65ad1\uff0c\u786c\u4ef6\uff0c4\u5b9a\u65f6\u56681\uff0c\u786c\u4ef6\uff0c5\u4e32\u884c\u53e3\uff0c\u786c\u4ef6 \uff0c6\u5b9a\u65f6\u56682\uff0c\u8f6f\u4ef6\uff0c\u6e05\u9664\u6807\u5fd7\u662f\u4e3a\u4e86\u4e0b\u6b21\u4e2d\u65ad\uff0c\u5426\u5219\u7a0b\u5e8f\u5c06\u4f1a\u8fdb\u5165\u6b7b\u5faa\u73af\uff0c\u6216\u4e0d\u80fd\u8fdb\u5165\u540c\u7ea7\u4e2d\u65ad

\u7b54\u6848\u5982\u56fe\uff1a

1.MCS-51单片机的运算电路包括了 算术逻辑运算单元ALU 、 累加器A ,
B寄存器 以及状态寄存器PSW和暂存寄存器等部件。
2.MCS-51单片机的最大寻址空间是 64K ,该空间的地址范围为 0000H—FFFFH ,系统上电及复位的程序入口地址0000H 。
3. MCS-51单片机的一个机器周期包含了 6 个状态周期,每个状态周期又可划分为 2 拍节,一个机器周期实际又包含了 12 个振荡器周期。
4、单片机与普通计算机的不同之处在于其将 CPU 、存储器 、和 输入输出接口 三部分集成于一块芯片上。
5、8031单片机复位后,R4所对应的存储单元的地址为 04H ,因上电时PSW= 00H 。这时当前的工作寄存器区是 0 组工作寄存器区。
6、片内RAM低128个单元划分为 寄存器区 、 位寻址区 、 用户RAM区 3个主要部分。
7、指令格式是由 操作码 和 操作数 所组成,也可能仅由 操作码 组成。
8、8031单片机响应中断后,产生长调用指令LCALL,执行该指令的过程包括:首先把 PC 的内容压入堆栈,以进行断点保护,然后把长调用指令的16位地址送 PC ,使程序执行转向 中的中断地址区。
9、在MCS-51中,PC和DPTR都用于提供地址,但PC是为访问 程序 存储器提供地址,而DPTR是为访问 数据 存储器提供地址。
10、假定,SP=60H,A=30H,B=70H,执行下列指令:
PUSH A
PUSH B
后,SP的内容为 62 ,61H单的内容为 30H ,62H单元的内容为 70H 。
1、单片机与普通计算机的不同之处在于其将( CPU)、( 存储器 )、I/O口(串口、并口等) 、ROM(程序存储器)集成于一块芯片上。
2、单片机工作方式除了正常的操作方式外,还具有掉电运行方式和( )运行方式,其掉电运行方式唤醒方法为( )。
3、当单片机复位时PSW=(00H )H,SP=(07H )。
4、串行口方式3发送的第9位数据要事先写入(SCON )寄存器的(TB8 )位。
5、MCS-51的并行I/O口信息有(读引脚 )和(读锁存器 )二种读取方法,读-改-写操作是针对并行I/O口内的锁存器进行的。
6、(A)= 85H,(R0)=20H,(20H)=AFH,执行指令:ADD A,@R0 结果为: Cy=1,Ac=( ),OV=1,P=( )。
7、若(IP)=00010100B,则优先级最高者为(IT1 ),最低者为(T1 )。
(IP:— — — PS PT1 PX1 PT0 PX0)
8、总线驱动器74LS244和74LS245经常用作三态数据缓冲器, ( 244 )为单向三态数据缓冲器,而( 245 )为双向三态数据缓冲器。

欢迎大家加入单片机学习交流平台 ,踊跃发言,积极提问,QQ群:37053150

在学

3.6个,两个,12个
5.读端口,读引脚

  • 鍗曠墖鏈鍩虹鐭ヨ瘑
    绛旓細鏈枃涓昏浠嬬粛鍗曠墖鏈鍩虹鐭ヨ瘑锛屽寘鎷荤嚎鍜屾暟鎹佸湴鍧銆佹寚浠ょ殑姒傚康锛屼互鍙婂紑鍙戣繃绋嬩腑鐨勬敞鎰忎簨椤广傛荤嚎鏄绠楁満鐢佃矾鐨勬牳蹇冿紝閫氳繃鏁版嵁鎬荤嚎銆佹帶鍒舵荤嚎鍜屽湴鍧鎬荤嚎瀹炵幇涓嶅悓鍣ㄤ欢闂寸殑閫氫俊銆傛暟鎹荤嚎鎵胯浇鏁版嵁浼犺緭锛屾帶鍒舵荤嚎璐熻矗鎸囦护鎵ц鐨勫悓姝ワ紝鑰屽湴鍧鎬荤嚎鍒欎负瀛樺偍鍗曞厓鍒嗛厤鍦板潃銆傛寚浠ゅ拰鍦板潃閮芥槸鐢辨暟瀛0鍜1缁勬垚鐨勫簭鍒楋紝鍏锋湁涓...
  • 鍗曠墖鏈鍘熺悊鍙婂簲鐢鐭ヨ瘑鎬荤粨
    绛旓細鍗曠墖鏈涓栫晫鐨勫熀纭鏋勯</: 鍐渚濇浖浣撶郴锛屼互浜岃繘鍒跺拰瀛樺偍绋嬪簭涓哄熀鐭筹紝鎻忕粯浜嗙‖浠剁殑绮惧瘑缁撴瀯銆傛暟鍊肩殑涓栫晫涓板瘜澶氬僵锛2杩涘埗涓16杩涘埗骞惰锛屽甫绗﹀彿鏁扮殑鍘熺爜銆佸弽鐮佸拰琛ョ爜锛屼负璁$畻鎻愪緵浜嗘棤闄愬彲鑳姐傛孩鍑虹殑鍒ゆ柇锛屽鍚屼竴閬撹皽棰橈紝鑰冮獙鐫鏁版嵁鐨勮竟鐣屻傞氫俊鐨勫弻璇咃細</涓茶涓庡苟琛岋紝鏄崟鐗囨満涓庡鐣屼氦娴佺殑璇█锛孧CS-51纭欢...
  • 鍗曠墖鏈鍘熺悊 鍗曠墖鏈哄簲鐢ㄨ寖鍥
    绛旓細妫鏌ヤ袱涓柟闈細绗竴灏辨槸鑳戒笉鑳藉皢鐩爣浠g爜姝e父鍐欏叆鍗曠墖鏈锛屼笉鑳芥甯稿啓鍏ュ崟鐗囨満鏃讹紝璇存槑鍗曠墖鏈哄凡缁忔崯鍧忥紝闇瑕佹洿鎹㈠悓鍨嬪彿鐨勫崟鐗囨満锛涚浜屽氨鏄洰鏍囦唬鐮佸彲浠ユ甯稿啓鍏ュ崟鐗囨満锛屽啓鍏ュ悗鐨勬晥鏋滄槸涓嶆槸灏辨槸绋嬪紡璁惧畾鐨勫姛鑳斤紝濡傛灉鏄鏄庡崟鐗囨満姝e父銆傜紪杈戞荤粨锛氬皬宸х殑鍗曠墖鏈烘槸鎴戜滑鐢熸椿涓秺鏉ヨ秺涓嶅彲缂哄皯鐨勪竴涓紝鍑犱箮姣忎釜琛屼笟姣忎釜...
  • 瀛鍗曠墖鏈闇瑕佷粈涔堝熀纭鐭ヨ瘑?
    绛旓細瀛鍗曠墖鏈闇瑕佸涔犳暟瀛楀拰妯℃嫙鐢靛瓙鎶鏈瓑鍩虹鐭ヨ瘑锛岃繕瑕佹湁涓瀹氱殑C璇█缂栫▼鑳藉姏銆傚涔犲垵鏈熷彲浠ュ厛涔颁竴涓紑鍙戞澘锛屽厛瀛︿細鍗曠墖鏈哄紑鍙戠殑鐜鎼缓锛屼富瑕佹槸鍗曠墖鏈洪泦鎴愬紑鍙戣蒋浠秌eil鐨勯厤缃紝杞欢椤圭洰鐨勫缓绔嬶紝绋嬪簭鐨勭紪璇戝拰鐑у啓锛屽厛鎶婄偣浜甃ED鐏紝娴佹按鐏拰鎺у埗铚傞福鍣ㄨ繖浜涙渶鍩烘湰鐨勭▼搴忓畬鏁寸殑瀹炵幇涓閬嶃備箣鍚庡彲浠ユ妸LED鏁扮爜绠℃樉绀猴紝...
  • 銆鍗曠墖鏈銆戜竴鏂囧交搴曟悶鎳傚崟鐗囨満绋嬪簭鐑у綍
    绛旓細鍗曠墖鏈鍐呴儴鐨勫瓨鍌ㄥ尯鍩熷垝鍒嗕负涓や釜閮ㄥ垎锛氬簲鐢ㄧ▼搴忓尯锛圓pplication Flash锛夊拰寮曞绋嬪簭鍖恒傚簲鐢ㄧ▼搴忓尯浣嶄簬楂樺湴鍧锛屽瓨鏀剧敤鎴风簿蹇冪紪鍐欑殑绋嬪簭锛岃繖閮ㄥ垎绌洪棿瀵逛簬鍔熻兘瀹炵幇鑷冲叧閲嶈銆係TM32鏀寔澶氱寮曞绋嬪簭鍐欏叆鏂瑰紡锛屽SWD銆丣TAG鍜屼覆鍙o紝浣垮緱鍚姩妯″紡鏇村姞鐏垫椿銆傜敤鎴峰瓨鍌ㄥ尯鐢ㄤ簬瀛樻斁瀹為檯杩愯鐨勭敤鎴风▼搴忥紝鑰岀郴缁熷瓨鍌ㄥ尯鍒欏湪涓插彛...
  • 鍗曠墖鏈虹煡璇!!!
    绛旓細1.MCS-51鍗曠墖鏈鐨勮繍绠楃數璺寘鎷簡 绠楁湳閫昏緫杩愮畻鍗曞厓ALU 銆 绱姞鍣ˋ 锛孊瀵勫瓨鍣 浠ュ強鐘舵佸瘎瀛樺櫒PSW鍜屾殏瀛樺瘎瀛樺櫒绛夐儴浠躲2.MCS-51鍗曠墖鏈虹殑鏈澶у鍧绌洪棿鏄 64K 锛岃绌洪棿鐨勫湴鍧鑼冨洿涓 0000H鈥擣FFFH 锛岀郴缁熶笂鐢靛強澶嶄綅鐨勭▼搴忓叆鍙e湴鍧0000H 銆3. MCS-51鍗曠墖鏈虹殑涓涓満鍣ㄥ懆鏈熷寘鍚簡 6 ...
  • 浠涔堟槸鍗曠墖鏈鍩虹鐭ヨ瘑(瀛︿範鍗曠墖鏈洪渶瑕佸摢浜涘熀纭鐭ヨ瘑)
    绛旓細鍗曠墖鏈鐨勫垎绫诲強搴旂敤鍗曠墖鏈烘寜鍏跺瓨鍌ㄥ櫒绫诲瀷鍙垎涓轰笉甯︾墖鍐匯OM鍜屽甫鐗囧唴ROM涓ょ銆傚浜庢病鏈夌墖涓奟OM鐨勮姱鐗,搴旂敤鍓嶅繀椤昏繛鎺ュ閮‥PROM;鐗囦笂ROM绫诲瀷鐨勮姱鐗囧彲鍒嗕负鐗囦笂EPROM鍨嬨佹帺鑶滅墖涓奟OM鍨嬪拰鐗囦笂Flash鍨嬨傛寜鐢ㄩ斿彲鍒嗕负涓鑸瀷鍜岀壒娈婂瀷;鏍规嵁鏁版嵁鎬荤嚎鐨勫搴﹀拰涓娆¤兘澶勭悊鐨勬暟鎹瓧鑺傜殑闀垮害,鍙垎涓8浣嶃16浣嶅拰32浣峂CU銆傜洰鍓,...
  • 瀛鍗曠墖鏈闇瑕佷粈涔堝熀纭鐭ヨ瘑
    绛旓細瀛︿範鍗曠墖鏈鐨勫熀纭鐭ヨ瘑鍖呮嫭鏁板瓧鍜屾ā鎷熺數瀛愭妧鏈紝鍚屾椂闇瑕佸叿澶囦竴瀹氱殑C璇█缂栫▼鑳藉姏銆備负浜嗗叆闂紝鍙互璐拱涓涓崟鐗囨満寮鍙戞澘锛屽苟閫氳繃瀹冩潵鐔熸倝鍗曠墖鏈哄紑鍙戠幆澧冿紝渚嬪閰嶇疆Keil闆嗘垚寮鍙戠幆澧冿紝寤虹珛杞欢椤圭洰锛岃繘琛岀▼搴忕紪璇戝拰鐑у綍銆傞鍏堬紝瀹炵幇鍩虹鐨勭▼搴忥紝濡傜偣浜甃ED鐏佸埗浣滄祦姘寸伅浠ュ強鎺у埗铚傞福鍣ㄧ瓑銆傛帴涓嬫潵锛屽彲浠ュ皾璇曠紪鍐欐洿澶嶆潅...
  • 51鍗曠墖鏈鍏ラ棬鍩虹鐭ヨ瘑
    绛旓細涓诲嚱鏁颁腑锛屽皢LED0鐨勭姸鎬佸瓨鍌ㄥ湪瀵勫瓨鍣╨ed涓紝閫氳繃涓嶆柇寮鍏矻ED鏉ュ疄鐜伴棯鐑侊紝濡俵ed = P0^0; while(1) { led = 0; delay(50000); led = 1; delay(50000); }銆51鍗曠墖鏈鐨勫叆闂ㄤ箣鏃呭氨浠庤繖閲屽紑濮嬶紝鎺屾彙杩欎簺鍩虹鐭ヨ瘑锛屼綘灏嗚兘澶熼┚椹繖鍙板井鍨嬭绠楁満锛屼负浣犵殑椤圭洰澧炴坊鏅鸿兘鍜屾帶鍒跺姏銆
  • 鍗曠墖鏈鍩虹鐭ヨ瘑
    绛旓細int锛夊氨鏄睘浜16浣嶆暟鎹紝鎵璋撶殑楂8浣嶅氨鏄寚16浣嶆暟鎹殑楂樺叓浣嶏紝浣庡叓浣嶅氨鏄寚16浣嶆暟鎹殑浣庡叓浣嶄簡銆傝嚦浜庝负浠涔堣鍖哄垎楂樹綆鍏綅鍛紵鎵撲釜姣旀柟璇村鏋滄垜浠娇鐢ㄧ殑鍗曠墖鏈鍙敤浜嗕竴涓鍙o紙8浣嶏級杩炴帴鐫涓涓澶囷紝鑰岃繖涓澶囬渶瑕侀氳繃鍗曠墖鏈虹鑴氳緭鍏16浣嶆暟鎹殑璇濓紝閭d箞鎴戜滑鏃犳硶涓娆¤緭鍏16浣嶆暟鎹殑锛屽彧鑳藉垎涓ゆ杈撳叆锛...
  • 扩展阅读:plc基础知识指令27个 ... 初学编程必背50个 ... 单片机入门基础知识 ... 为什么不建议学单片机 ... 扫一扫题目出答案 ... 初学编程100个代码大全 ... 51单片机编程入门自学 ... cnc编程必背50个程序 ... 嵌入式stm32项目实例 ...

    本站交流只代表网友个人观点,与本站立场无关
    欢迎反馈与建议,请联系电邮
    2024© 车视网