用74LS138设计一三人投票表决电路,表决规则为多数通过 用74LS138和74LS20做三人表决器,求看一下下面那个...

\u7528\u8bd1\u7801\u566874LS138\u548c\u9002\u5f53\u7684\u95e8\u7535\u8def\u8bbe\u8ba1\u4e09\u4eba\u591a\u6570\u8868\u51b3\u5668\u3002


\u8bbeSa,Sb,Sc\u4e3a\u4e09\u88c1\u5224\u6309\u952e\uff0c\u6309\u4e0b=1\u901a\u8fc7\uff0cS\u4e3a\u5f00\u59cb\u952e\uff0c
\u771f\u503c\u8868\u4e2d\u7eff\u8272\u5708\u4e3a\u901a\u8fc7\u7ec4\u5408\uff0c\u901a\u8fc7\u5f8cLED\u4eae\u3002

138\u8bd1\u7801\u5668\u7684ABC\u505a\u4e3a\u8f93\u5165\u7aef,Y3,Y5,Y6,Y7\u8fde\u5728\u4e00\u4e2a\u4e0e\u975e\u95e8\u4e0a,\u4ee4\u5176\u8f93\u51fa\u4e3aY,\u82e5Y\u4e3a\u9ad8\u7535\u9891,\u5219\u8868\u51b3\u901a\u8fc7,Y\u4e3a\u4f4e\u7535\u9891\u5219\u8868\u51b3\u4e0d\u901a\u8fc7\u3002

在输入端接三个互相隔离的脉冲开关就行了,每个开关只允许接通一次,直到重新复位,输出端的灯表示人数,接到所要的位置就行了

  • 鐢74LS138璁捐涓涓変汉鎶曠エ琛ㄥ喅鐢佃矾,琛ㄥ喅瑙勫垯涓哄鏁伴氳繃
    绛旓細鍦ㄨ緭鍏ョ鎺ヤ笁涓簰鐩搁殧绂荤殑鑴夊啿寮鍏冲氨琛屼簡,姣忎釜寮鍏冲彧鍏佽鎺ラ氫竴娆,鐩村埌閲嶆柊澶嶄綅,杈撳嚭绔殑鐏〃绀轰汉鏁,鎺ュ埌鎵瑕佺殑浣嶇疆灏辫浜
  • ...涓変綅閮借禐鎴愬垯浜粍鐏傝瘯璁捐涓缁勫悎閫昏緫鐢佃矾瀹炵幇
    绛旓細鐢74ls138 3-8璇戠爜鍣ㄨ姱鐗囧嵆鍙 A銆丅銆丆 1銆1銆0 --銆 3 \ 1銆0銆1 --銆 5 - 銆3涓庨潪锛岄珮鐢靛钩涓轰寒绾㈢伅 0銆1銆1 --銆 6 / 1銆1銆1 --銆 7 浣庣數骞充负榛勭伅锛堟垨鍔犵骇鍙嶇浉鍙栭珮鐢靛钩鐘舵侊級
  • 濡傚浘,鏁扮數瀹為獙棰
    绛旓細74LS138 鐨勭锛堥珮浣嶏級锛孲TA 涓74LS138 鐨勪娇鑳界銆傚綋C锛0 鏃讹紝74LS138 浠呰兘閫変腑 0 3 Y ~ Y 锛屽綋C锛1 鏃讹紝74LS138 浠呰兘閫変腑4 7 Y ~ Y 锛岃繖鏍凤紝鍙互瀹炵幇寮鍏虫潵鎺у埗宸﹀彸鐏傚彲浠ョ湅鍑猴紝褰撳乏鍙筹紳00 鎴栧乏鍙筹紳11 鏃讹紝STA锛0锛74LS138 璇戠爜鍣ㄤ笉宸ヤ綔锛岃緭鍑哄叏涓洪珮鐢靛钩锛屾鏃讹紝鍙互鍒╃敤鍏朵粬鐢佃矾鏉ユ帶鍒...
  • 扩展阅读:74ls138设计电灯开关 ... 用138设计三人表决器 ... 三开关控制一盏灯 74ls138 ... 74ls138时钟分配器波形图 ... 三人投票表决器逻辑图 ... 三人表决器仿真图 ... 三人表决器连接图 ... 三人投票器门电路 ... 74ls138设计四人表决器 ...

    本站交流只代表网友个人观点,与本站立场无关
    欢迎反馈与建议,请联系电邮
    2024© 车视网