请教verilog中的下面三种表达式的意思: ! 4‘b0101 is 1’b0; zero=(!accum); if(!reset); 请教verilog的语句解析。assign a= (b=1)...

Verilog \u91cc\u9762 Q <= 4'b0 \u662f\u4ec0\u4e48\u610f\u601d

<=\u5728\u4e0d\u540c\u7684\u5730\u65b9\u6709\u4e0d\u540c\u7684\u610f\u601d,\u8fd9\u91cc\u662f\u975e\u963b\u585e\u8d4b\u503c,\u4e00\u822c\u7528\u5728\u65f6\u5e8f\u903b\u8f91\u91cc,\u610f\u601d\u662f\u5728\u540c\u4e00\u8fdb\u7a0b\u6216\u5757\u8bed\u53e5\u91cc\u6240\u6709\u7684\u975e\u963b\u585e\u8d4b\u503c\u8bed\u53e5\u540c\u65f6\u8fdb\u884c\u7684,=\u662f\u963b\u585e\u8d4b\u503c\u8bed\u53e5,\u8981\u7b49\u8fd9\u53e5\u6267\u884c\u5b8c\u624d\u80fd\u8fdb\u884c\u4e0b\u4e00\u53e5\u7684\u64cd\u4f5c. <=\u5728\u8fdb\u884c\u903b\u8f91\u8fd0\u7b97\u7684\u65f6\u5019\u4e5f\u4f5c\u4e3a\u903b\u8f91\u8fd0\u7b97\u7b26

\u5f53b=0\u7684\u65f6\u5019a\u7684\u503c\u4e3a0\uff0c\u5f53b=1\u65f6\uff0ca\u7684\u503c\u53d6\u51b3\u4e8ec\uff0cd\u7684\u503c\uff0c\u5f53c=1\uff0cd=1\u65f6a\u7684\u503c\u7b49\u4e8e1\uff0c\u5f53c=1\uff0cd=0\u6216\u8005c=0\uff0cd=1\u6216\u8005c=0\uff0cd=0\u65f6a\u7684\u503c\u4e3a0\u3002
\u9996\u5148\u4f1a\u5224\u65adb\u7684\u503c\u662f\u5426\u7b49\u4e8e1\uff0c\u7b49\u4e8e0\uff0c\u5219\u76f4\u63a5\u5bf9a\u8fdb\u884c\u8d4b\u503c\u4e3a0\uff0c\u5982\u679cb\u7684\u503c\u4e3a1\uff0c\u90a3\u4e48\u4f1a\u6267\u884c(c && d) ? 1'b1:1'b0\uff0c\u7136\u540e\u6839\u636ec&&d\u7684\u7ed3\u679c\u5224\u65ad\u8d4b\u503c\u7684\u7ed3\u679c\uff0c\u5f53c=1\uff0cd=1\uff0c\u65f6a\u8d4b\u503c\u4e3a1\uff0c\u5176\u4ed6\u60c5\u51b5\u90fd\u8d4b\u503c\u4e3a0\u3002

\u6269\u5c55\u8d44\u6599\uff1a
Verilog\u662f\u4e00\u79cd\u5927\u5c0f\u5199\u654f\u611f\u7684\u786c\u4ef6\u63cf\u8ff0\u8bed\u8a00\u3002\u5176\u4e2d\uff0c\u5b83\u7684\u6240\u6709\u7cfb\u7edf\u5173\u952e\u5b57\u90fd\u662f\u5c0f\u5199\u7684\u3002
Verilog\u5177\u6709\u4e00\u4e9b\u7f16\u8bd1\u6307\u4ee4\uff0c\u5b83\u4eec\u7684\u57fa\u672c\u683c\u5f0f\u4e3a`\uff0c\u6ce8\u610f\u7b2c\u4e00\u4e2a\u7b26\u53f7\u4e0d\u662f\u5355\u5f15\u53f7\uff0c\u800c\u662f\u952e\u76d8\u4e0a\u6570\u5b571\u5de6\u8fb9\u90a3\u4e2a\u952e\u5bf9\u5e94\u7684\u6487\u53f7\u3002\u5e38\u7528\u7684\u7f16\u8bd1\u6307\u4ee4\u6709\u6587\u672c\u5b8f\u9884\u5b9a\u4e49`define\u3001`include\uff0c\u5b83\u4eec\u7684\u529f\u80fd\u4e0eC\u8bed\u8a00\u4e2d\u7c7b\u4f3c\uff0c\u5206\u522b\u63d0\u4f9b\u6587\u672c\u66ff\u6362\u3001\u6587\u4ef6\u5305\u542b\u7684\u529f\u80fd\u3002
\u4e09\u76ee\u8fd0\u7b97\u7b26\u201c\u8868\u8fbe\u5f0f1\uff1f\u8868\u8fbe\u5f0f2\uff1a\u8868\u8fbe\u5f0f3\u201d\uff0c\u7684\u6267\u884c\u4e3a\uff0c\u5148\u5224\u65ad\u8868\u8fbe\u5f0f1\u7684\u7ed3\u679c\uff0c\u7ed3\u679c\u4e3a\u771f\u6267\u884c\u8868\u8fbe\u5f0f2\uff0c\u5426\u5219\u6267\u884c\u8868\u8fbe\u5f0f3\u3002
Verilog\u8fd8\u5141\u8bb8\u8bbe\u8ba1\u4eba\u5458\u4e3a\u6bcf\u4e2a\u5ef6\u8fdf\u65f6\u95f4\u8bbe\u7f6e\u6700\u5927\u503c\u3001\u5178\u578b\u503c\u3001\u6700\u5c0f\u503c\uff0c\u5728\u7f16\u8bd1\u9636\u6bb5\u53ef\u4ee5\u901a\u8fc7\u7f16\u8bd1\u4ee3\u7801\u9009\u62e9\u5176\u4e2d\u4e00\u4e2a\u3002
\u53c2\u8003\u8d44\u6599\u6765\u6e90\uff1a\u767e\u5ea6\u767e\u79d1-VerilogHDL

!是逻辑运算符,如果操作位不只是一位数,应将操作数作为一个整体来对待,即如果操作数是全0.就相当于逻辑0,只要有一位是1,就相当于逻辑1.这里4‘b0101 相当于逻辑1,取反自然为0.建议你看一下王金明版的数字系统设计与verilogHDL(第三版)

这里的“!”表示非,它后面的数不管几位,如果是0,结果就为1,如果非0结果就为0,只有两种结果,所以用1位表示即可。

第一个!是缩位运算,
第二个是取反;
第三个是关系操作,相当于if (reset == 1'b0)

!是表示非,是一目运算,所以运算后就是一位了,是1'b0

  • 璇锋暀verilog涓殑涓嬮潰涓夌琛ㄨ揪寮忕殑鎰忔: ! 4鈥榖0101 is 1鈥檅0; zero=...
    绛旓細锛佹槸閫昏緫杩愮畻绗︼紝濡傛灉鎿嶄綔浣嶄笉鍙槸涓浣嶆暟锛屽簲灏嗘搷浣滄暟浣滀负涓涓暣浣撴潵瀵瑰緟锛屽嵆濡傛灉鎿嶄綔鏁版槸鍏0.灏辩浉褰撲簬閫昏緫0锛屽彧瑕佹湁涓浣嶆槸1锛屽氨鐩稿綋浜庨昏緫1.杩欓噷4鈥榖0101 鐩稿綋浜庨昏緫1锛屽彇鍙嶈嚜鐒朵负0.寤鸿浣犵湅涓涓嬬帇閲戞槑鐗鐨鏁板瓧绯荤粺璁捐涓verilogHDL锛堢涓夌増锛...
  • 鏈甯哥敤鐨剉erilog鍙橀噺鏈夊摢涓夌
    绛旓細1. nets鍨嬪彉閲忥細杈撳嚭濮嬬粓闅忚緭鍏ュ彉鍖鐨鍙橀噺銆2. register鍨嬪彉閲忥細瀵瑰簲鍏锋湁鐘舵佷繚鎸佹晥鏋滅殑鍏冧欢銆3. memory鍨嬪彉閲 锛歮emory鍨嬪彉閲忕敱 澶氫釜reg鍨 鍙橀噺缁勬垚鐨勬暟缁勩
  • 瀛︿範Verilog HDL璇█杩囩▼涓叧浜涓夌甯哥敤璧嬪艰鍙鐨鍥版儜!
    绛旓細Verilog HDL 涓祴鍊艰鍙ュ垎鈥渁ssign鈥濊繛缁祴鍊艰鍙ュ拰杩囩▼璧嬪艰鍙(闃诲璧嬪艰鍙ュ拰闈為樆濉炶祴鍊艰鍙ュ湪)銆 杩炵画璧嬪兼搷浣滃彇鍐充簬琛ㄨ揪寮忓彸渚у彉閲忔槸鍚﹀彉鍖,鍙鍙充晶鍙樺寲,灏变細杩涜璧嬪兼搷浣;涓昏鐢ㄤ簬绔彛鍙橀噺鐨勮祴鍊,涔熷彲鐢ㄥ湪缁勫悎閫昏緫鐨勮涓烘弿杩颁腑銆 闃诲璧嬪艰鍙ュ皢闃诲杩涚▼,鐩村埌璇ヨ祴鍊间簨浠舵墽琛屽畬鎵嶆墽琛屼笅涓鏉¤鍙;杩欑璇彞鍙兘婊¤冻鏁版嵁...
  • verilog鏀寔鍝嚑绉嶆弿杩版柟寮,鍚勬湁浠涔堢壒鐐
    绛旓細褰撴垜浠娇鐢℉DL浠g爜鎻忚堪纭欢鍔熻兘鐨鏃跺欙紝涓昏鏈涓夌鍩烘湰鎻忚堪鏂瑰紡锛屽嵆缁撴瀯鍖栨弿杩版柟寮忋佹暟鎹祦鎻忚堪鏂瑰紡鍜岃涓虹骇鎻忚堪鏂瑰紡銆傜粨鏋勫寲鎻忚堪鏂瑰紡 缁撴瀯鍖栨弿杩版柟寮忔槸鏈鍘熷鐨勬弿杩版柟寮忥紝鏄娊璞$骇鍒渶浣庣殑鎻忚堪鏂瑰紡锛屼絾鍚屾椂涔熸槸鏈鎺ヨ繎浜庡疄闄呯殑纭欢缁撴瀯鐨勬弿杩版柟寮忋傜粨鏋勫寲鐨勬弿杩版柟寮忥紝鎬濊矾灏卞儚鍦ㄩ潰鍖呮澘涓婃惌寤烘暟瀛楃數璺竴鏍凤紝鍞竴...
  • Verilog鏈夊摢浜涢昏緫鐘舵,寤惰繜鍜屽叧鏂姸鎬?
    绛旓細鍏虫柇寤惰繜锛氶棬鐨杈撳嚭浠0锛1锛寈鍙樺寲涓洪珮闃籞鎵闇鐨勬椂闂淬verilog锛氭槸鐩墠搴旂敤鏈涓哄箍娉涚殑纭欢鎻忚堪璇█锛屽彲浠ョ敤鏉ヨ繘琛屽悇绉嶅眰娆$殑閫昏緫璁捐锛屼篃鍙互杩涜鏁板瓧绯荤粺鐨勯昏緫缁煎悎锛屼豢鐪熼獙璇佸拰鏃跺簭鍒嗘瀽绛夈傚彲閲囩敤涓夌涓嶅悓鏂瑰紡鎴栨贩鍚堟柟寮忓璁捐寤烘ā銆傝繖浜涙柟寮忓寘鎷細琛屼负鎻忚堪鏂瑰紡鈥斾娇鐢ㄨ繃绋嬪寲缁撴瀯寤烘ā锛涙暟鎹祦鏂瑰紡鈥斾娇鐢ㄨ繛缁...
  • verilog璇█鍏ラ棬鏁欑▼
    绛旓細妯″潡瀹氫箟鍚庯紝闇瑕佹槑纭緭鍏ュ拰杈撳嚭绔彛锛岄氳繃鍙傛暟杩涜瀹氫箟銆傝緭鍏ヨ緭鍑虹鍙g殑澹版槑瀵逛簬妯″潡鍔熻兘鐨勫疄鐜拌嚦鍏抽噸瑕併傚湪Verilog涓锛屾暟鎹被鍨嬫湁涓夌锛氬瘎瀛樺櫒鍨嬨佺嚎鎬у瀷鍜屽弬鏁板瀷銆傚弬鏁板瀷鏁版嵁閫氳繃"parameter"澹版槑锛屽叿浣撴搷浣滃鍥炬墍绀恒傚瘎瀛樺櫒绫诲瀷鏁版嵁鐨勪綅瀹芥槸閫氳繃[n:0]褰㈠紡鎸囧畾鐨勶紝杩欐湁鍔╀簬绮剧‘鎻忚堪鏁版嵁缁撴瀯銆備緥濡傦紝涓涓8浣...
  • 鍒氬紑濮嬪verilog,鎴戞兂闂竴涓嬩粈涔堝彨涓,鍜屾寜浣嶄笌?浠栦滑鐨勫尯鍒槸浠涔?
    绛旓細锛2锛塶浣嶄俊鍙穉鍜宯浣嶄俊鍙穊鐩镐笌锛屽緱鍒扮殑缁撴灉鏄1浣嶏紝濡傛灉a鍜宐鏈変竴涓负0锛岃繖1浣嶄俊鍙峰氨涓0.锛3锛夎屾ゼ涓婅鐨勭浜岀鎯呭喌鏄綊绾︽搷浣滐紝浣嗘搷浣滄暟鎿嶄綔绗︼紝姣斿c=&a;c鏄1浣嶆暟鎹紝a鏄痭浣嶆暟鎹紝c渚挎槸a鐨勭涓浣嶄笌绗簩浣嶏紝寰楀埌缁撴灉鍐嶄笌涓婄涓変綅锛屻傘傘傦紝涓鐩村緱鍒癱銆verilog涓杩涓夌涓庣敤鐨勯兘鏄瘮杈...
  • verilog璇█鍏ラ棬鏁欑▼
    绛旓細verilog璇█鍏ラ棬鏁欑▼濡備笅锛1銆乂erilog璇█鐢ㄤ簬FPGA棰嗗煙锛屽湪quartus ii涓繘琛岀紪杈戯紝鐐瑰嚮鎵撳紑quartus ii锛屽涓嬪浘鎵绀恒2銆佸湪Verilog涓锛屼唬鐮佷互module涓轰竴涓ā鍧楋紝鍦.v鏂囦欢澶撮儴鍜屽熬閮ㄥ垎鍒緭鍏odule+妯″潡鍚嶅拰endmodule鍗冲彲锛屽涓嬪浘鎵绀恒3銆佸湪module妯″潡鍚嶅悗锛岄渶瑕佸妯″潡鐨勮緭鍏ヨ緭鍑虹鍙h繘琛屽畾涔夛紝杈撳叆鐩稿簲鍙傛暟鍗冲彲...
  • verilog娴嬭瘯婵鍔辨湁鍝簺鎻忚堪鏂瑰紡
    绛旓細鏈涓夌锛屾暟鎹祦鎻忚堪鏂瑰紡锛岃涓虹骇鎻忚堪鏂瑰紡锛岀粨鏋勬弿杩版柟寮忋傛暟鎹祦灏辨槸鏍规嵁鏁版嵁澶勭悊娴佺▼杩涜寤烘ā锛屾瀯寤虹浉鍏崇殑娴嬭瘯鏁版嵁鍜屾祴璇曡緭鍏ヨ緭鍑恒傝涓虹骇鏂瑰紡灏辨槸鍒╃敤verilog鐨鍚勭璇彞鏉ュ畬鎴愭暣涓祴璇曠幆澧冪殑鎼缓鍜岀粨鏋滄瘮瀵广傜粨鏋勬弿杩版柟寮忓氨鏄皢纭欢鐢佃矾鎻忚堪鎴愪竴涓垎绾у瓙妯″潡绯荤粺锛岄氳繃閫愬眰璋冪敤杩欎簺瀛愭ā鍧楁瀯鎴愬姛鑳藉鏉傜殑鏁板瓧閫昏緫...
  • verilog涓鏈夊摢鍑犵绫诲瀷鐨勮祴鍊艰鍙,璇存槑瀹冧滑鐨勫尯鍒,骞朵妇渚
    绛旓細end鍦Verilog涓鏈変袱绉嶇被鍨嬬殑璧嬪艰鍙 杩炵画璧嬪煎拰杩囩▼璧嬪 璧嬪艰〃杈惧紡鐢涓変釜閮ㄥ垎缁勬垚 宸 鍊艰祴鍊艰繍绠楃=鎴<= 鍜屽彸鍊煎彸鍊煎彲浠ユ槸浠讳綍绫诲瀷鐨勬暟鎹寘鎷琻et鍨嬪拰register鍨嬩絾瀵硅繛缁 璧嬪 宸﹀煎繀椤绘槸net绫诲瀷鐨勬暟鎹 鑰岃繃绋嬭祴鍊 宸﹀煎繀椤绘槸register绫诲瀷鐨勬暟鎹 涓嬮潰灏嗕綔璇︾粏鎻 杩 1杩炵画璧嬪 鍦╥nitial鎴朼lways澶栫殑assign...
  • 扩展阅读:免费的翻译器 ... 在线同声翻译器免费 ... 古今互译软件 ... verilog语言实现74138 ... 法文翻译器 ... 在线繁体字 ... 不可综合的verilog ... verilog课后答案 ... 给出全减器的verilog描述 ...

    本站交流只代表网友个人观点,与本站立场无关
    欢迎反馈与建议,请联系电邮
    2024© 车视网