多路智力竞赛抢答器的设计 多路智力竞赛抢答器国内外研究现状及发展趋势

\u8dea\u6c4212\u8def\u667a\u529b\u7ade\u8d5b\u62a2\u7b54\u5668\u8bbe\u8ba1\u56fe\uff0c\u80fd\u7528\u4eff\u771f\u8f6f\u4ef6\u505a\u51fa\u6765 \u5177\u4f53\u8981\u6c42\u5165\u4e0b\u3002\u591a\u8def\u667a\u529b\u7ade\u8d5b\u62a2\u7b54\u5668\u8bbe\u8ba1\uff1a\u4e3b\u8981\u601d\u8def\u5982\u4e0b

\u5728\u4e0d\uff0c\uff0c\u6211\u665a\u4e0a\u5e2e\u4f60\u4f5c\uff01 \u53d7\u5230\u8bf7\u56de
\u5df2\u7ecf\u53d1\u5230\u4f60\u7684\u90ae\u7bb1
\u4e0d\u61c2\u95ee\u4e0b \u8ddf\u4f60\u8bb2\u4e0b\u601d\u8def

\u6839\u636e\u81ea\u8eab\u60c5\u51b5\u9009\u62e9\u9002\u5408\u7684\u62a2\u7b54\u5668\u3002\u5e02\u573a\u4e0a\u62a2\u7b54\u5668\u79cd\u7c7b\u7e41\u591a\uff0c\u529f\u80fd\u5404\u5f02\uff0c\u4ef7\u683c\u5dee\u5f02\u4e5f\u5f88\u5927\u3002\u90a3\u4e48\u9009\u62e9\u4e00\u6b3e\u771f\u6b63\u9002\u5408\u7684\u62a2\u7b54\u5668\u5c31\u975e\u5e38\u91cd\u8981\u3002
A.\u666e\u53ca\u578b\u62a2\u7b54\u5668\uff1a\u901a\u5e38\u6709\u4e00\u53f0\u4e3b\u673a\uff0c\u6709\u4f9b\u9009\u624b\u62a2\u7b54\u7684\u6309\u94ae\uff0c\u6709\u4e00\u53f0\u89c2\u4f17\u663e\u793a\u5c4f\u53ef\u4f9b\u89c2\u4f17\u89c2\u770b\uff0c\u800c\u4e14\u53ef\u914d\u6709\u624b\u7ffb\u7684\u5206\u6570\u663e\u793a\u724c\u8fdb\u884c\u5bf9\u6bcf\u4f4d\u9009\u624b\u7684\u5206\u6570\u663e\u793a\u3002\u8fd9\u7c7b\u62a2\u7b54\u5668\u53ef\u4f9b\u53ea\u9700\u4e00\u822c\u62a2\u7b54\u529f\u80fd\u7684\u5355\u4f4d\u8fdb\u884c\u4f7f\u7528\u3002\u4f18\u70b9\uff1a\u4ef7\u683c\u4fbf\u5b9c\uff0c\u666e\u53ca\u5ea6\u975e\u5e38\u9ad8\u3002\u7f3a\u70b9\uff1a\u4e0d\u80fd\u5bf9\u6bcf\u4f4d\u9009\u624b\u8fdb\u884c\u81ea\u52a8\u8bb0\u5206\uff0c\u7565\u663e\u4e0d\u4fbf\u3002
\u63a8\u8350\uff1a\u5e94\u660c\u724c608\u578b\u77e5\u8bc6\u7ade\u8d5b\u62a2\u7b54\u5668\uff1a\u96c6\u62a2\u7b54\uff0c\u6570\u663e\u5012\u8ba1\u65f6\u5668\uff0c\u8bed\u97f3\u63d0\u793a\u4e3a\u4e00\u4f53\uff1b\u4e8c\u79cd\u7ecf\u5178\u62a2\u7b54\u6a21\u5f0f\u4f9b\u7528\u6237\u9009\u62e9\uff0c\u4e2d\u6587\u8bed\u97f3\u63d0\u793a\u6a21\u5f0f\u4e0e\u97f3\u4e50\u63d0\u793a\u6a21\u5f0f\uff1b\u62a2\u7b54\u3001\u7b54\u9898\u4e24\u79cd\u6570\u663e\u5230\u8ba1\u65f6\u529f\u80fd\uff0c\u65f6\u95f41-999\u79d2\u53ef\u4efb\u610f\u8bbe\u5b9a\uff1b\u6570\u7801\u7ba1\u8d85\u9ad8\u4eae\u663e\u793a\uff0c\u7f8e\u89c2\u6c14\u6d3e\u3002
B:\u9ad8\u7ea7\u7535\u5b50\u62a2\u7b54\u5668\uff1a\u901a\u5e38\u6709\u4e00\u53f0\u4e3b\u673a\uff08\u5e76\u914d\u6709\u64cd\u4f5c\u952e\u76d8\uff09\uff0c\u6bcf\u4f4d\u9009\u624b\u914d\u6709\u81ea\u52a8\u8bb0\u5206\u7684\u663e\u793a\u5668\u548c\u62a2\u7b54\u6309\u94ae\u3002\u4f18\u70b9\uff1a\u76f4\u89c2\u65b9\u4fbf\uff0c\u7f8e\u89c2\u6c14\u6d3e\u3002\u7f3a\u70b9\uff1a\u4ef7\u683c\u8f83\u666e\u901a\u7684\u65e0\u7535\u5b50\u8bb0\u5206\u724c\u7684\u62a2\u7b54\u5668\u7a0d\u9ad8\u3002
\u63a8\u8350\uff1a\u5e94\u660c\u724c898A\u578b\u5e26\u5927\u5c4f\u5e55\u663e\u793a\u5168\u81ea\u52a8\u9ad8\u7ea7\u77e5\u8bc6\u7ade\u8d5b\u62a2\u7b54\u5668\uff1a\u96c6\u62a2\u7b54\u5668\u3001\u8bb0\u5206\u5668\u3001\u6570\u663e\u5012\u8ba1\u65f6\u5668\u4e8e\u4e00\u673a\uff1b\u79ef\u6728\u5f0f\u8bbe\u8ba1\uff0c1-16\u7ec4\u4efb\u610f\u6302\u63a5\uff1b\u5c0f\u952e\u76d8\u5b8c\u6210\u6240\u6709\u529f\u80fd\u8bbe\u5b9a\u53ca\u5404\u79cd\u64cd\u4f5c\uff1b\u57fa\u672c\u5206\u3001\u6bcf\u7ec4\u6b21\u52a0\u51cf\u5206\uff0c\u62a2\u7b54\u3001\u7b54\u9898\u4e24\u79cd\u5012\u8ba1\u65f6\u65f6\u95f4\u5747\u53ef\u4efb\u610f\u8bbe\u5b9a\u7b49\u529f\u80fd

1.前言
1. 1
工厂、学校和电视台等单位常举办各种智力竞赛, 抢答记分器是必要设备。在我校举行的各种竞赛中我们经常看到有抢答的环节,举办方多数采用让选手通过举答题板的方法判断选手的答题权,这在某种程度上会因为主持人的主观误断造成比赛的不公平性。为解决这个问题,我们小组准备就本次大赛的机会制作一个低成本但又能满足学校需要的八路数显抢答器。本课程设计是“模拟电子技术”与“数字电子技术”两门课程的综合课程设计。
1. 2
本课程设计旨在培养学生综合模拟、数字电路知识,解决电子信息方面常见实际问题的能力,掌握一般电子电路设计方法与设计步骤。促使学生积累实际电子制作经验,准备走向更复杂更实用的应用领域,是参加“全国大学生电子竞赛”前的理论与实践相结合的综合技能训练。目的在于巩固基础、注重设计、培养技能、追求创新、走向实用。
1.3主要功能介绍
1.3.1抢答器最多可供8名选手参赛,编号为1~8号,各队分别用一个按钮(分别为S1~S8)控制,并设置一个系统清零和抢答控制开关S,该开关由主持人控制。
1.3.2抢答器具有数据锁存功能,并将锁存数据用LED数码管显示出来,同时蜂鸣器发出间歇式声响(持续时间为1秒),主持人清零后,声音提示停止。
1.3.3 抢答先后的分辨率为5ms。
1.3.4 关S作为清零及抢答控制开关(由主持人控制),当开关S被按下时抢答电路清 零,松开后则允许抢答。输入抢答信号由抢答按钮开关S1~S8实现。
1.3.5 有抢答信号输入(开关S1~S8中的任意一个开关被按下)时,并显示出相 对应的组别号码。此时再按其他任何一个抢答器开关均无效,指示灯依旧“保持” 第一个开关按下时所对应的状态不变。

2.总体方案设计
2.1方案一:
如图1所示为总体方框图。其工作原理为:接通电源后,主持人将开关拨到"清零"状态,抢答器处于禁止状态,编号显示器灭灯,定时器显示设定时间;主持人将开关置;开始"状态,宣布"开始"抢答器工作。定时器倒计时,扬声器给出声响提示。选手在定时时间内抢答时,抢答器完成:优先判断、编号锁存、编号显示、扬声器提示。当一轮抢答之后,定时器停止、禁止二次抢答、定时器显示剩余时间。如果再次抢答必须由主持人再次操作"清除"和"开始"状态开关。

2.2方案二
实验电路原理方框图如图所示。该电路作为抢答信号的接收、保持和输出的基本电路,手动清零开关CR,S1~S8为抢答按钮开关。
本抢答器可同时供8名选手或8个代表队比赛,分别用8个开关S1~S8表示。同时设置一个系统清除和抢答控制开关,该开关由裁判控制。此抢答器应具有数据锁存功能与显示功能。即选手按动按钮,锁存相应的编号,并在数码管上显示,同时蜂鸣器发出报警声响提示。选手抢答实行优先锁存,优先抢答选手的编号一直保持到裁判将系统清零为止。

2.3方案比较
通过对方案一和方案二的比较可以看出,并且增加了控制电路,是电路图简单明了,避免重复,控制起来更加方便。抢答器队参赛选手的动作的先后有很强的分辨力,即使先后只相差几毫秒,抢答器也能分辨出来,抢答器直线实现动作选手的编号,并保持到主持人清零为止。
2.4方案论证

2.5方案选择
通过对比,最终选择方案一

3单元模块设计
3.1抢答器电路
3.1.1抢答器电路图方框图

3.1.2抢答器电路图设计及电路功能介绍

设计电路见附录图3.1.2所示。电路选用优先编码器 74LS148 和锁存器 74LS279 来完成。该电路主要完成两个功能:一是分辨出选手按键的先后,并锁存优先抢答者的编号,同时译码显示电路显示编号(显示电路采用七段数字数码显示管);二是禁止其他选手按键,其按键操作无效。工作过程:开关S置于"清除"端时,RS触发器的 R、S端均为0,4个触发器输出置0,使74LS148的优先编码工作标志端(图中5号端)=0,使之处于工作状态。当开关S置于"开始"时,抢答器处于等待工作状态,当有选手将抢答按键按下时(如按下S5),74LS148的输出经RS锁存后,CTR=1,RBO(图中4端) =1,七段显示电路74LS48处于工作状态,4Q3Q2Q=101,经译码显示为“5”。此外,CTR=1,使74LS148 优先编码工作标志端(图中5号端)=1,处于禁止状态,封锁其他按键的输入。当按键松开即按下时,74LS148的 此时由于仍为CTR=1,使优先编码工作标志端(图中5号端)=1,所以74LS148仍处于禁止状态,确保不会出二次按键时输入信号,保证了抢答者的优先性。如有再次抢答需由主持人将S开关重新置“清除”然后再进行下一轮抢答。

3.2可预致时间的定时电路
3.2.1可预致时间的定时电路方框图

3.2.2可预致时间的定时电路图设计及电路功能介绍

原理及设计:该部分主要由555定时器秒脉冲产生电路、十进制同步加减计数器74LS192减法计数电路、74LS48译码电路和2个7段数码管即相关电路组成。具体电路如图3所示。两块74LS192实现减法计数,通过译码电路74LS48显示到数码管上,其时钟信号由时钟产生电路提供。74192的预置数控制端实现预置数,由节目主持人根据抢答题的难易程度,设定一次抢答的时间,通过预置时间电路对计数器进行预置,计数器的时钟脉冲由秒脉冲电路提供。按键弹起后,计数器开始减法计数工作,并将时间显示在共阴极七段数码显示管DPY_7-SEG 上,当有人抢答时,停止计数并显示此时的倒计时时间;如果没有人抢答,且倒计时时间到时, 输出低电平到时序控制电路,控制报警电路报警,同时以后选手抢答无效。下面结合图4具体讲一下标准秒脉冲产生电路的原理。结合图4,图中电容C的放电时间和充电时间分别为
, 于是从NE555的3端输出的脉冲的频率为
,结合我们的实际经验及考虑到元器件的成本,我们选择的电阻值为R1=15K,R2=68K,C=10uF,代入到上式中即得 ,即秒脉冲。

3.2.3控制电路和报警电路

由555 芯片构成多谐振荡电路 ,555 的输出信号再经三极管放大 ,从而推动扬声器发声
控制电路包括时序和报警两个电路 ,如图5 所示。控制电路需具有以下几个功能。
主持人闭合开关扬声器发声 ,多路抢答器电路和计时电路进入正常状态; 参赛者按键时 ,扬声器发声 ,抢答电路和计时电路停止工作;
抢答时间到 ,无人抢答 ,扬声器发声 ,抢答电路和计时电路停止工作

3.2.4电源电路

3.3电路参数的计算及元器件的选择
3.3.1电路参数的计算
3.3.2元器件的选择

4软件设计
4.1软件设计原理及设计所用工具
4.2主要软件设计流程框图
4.3功能介绍

5特殊器件介绍

5.1 74LS148为8线-3线优先编码器,表5.1.1为其真值表,表5.1.2为其功能表,图5.1.1为其管脚图,图5.1.2为其电路图。
表5.1.1 74LS148 8线—3线二进制编码器真值表
输 入 输 出
A7 A6 A5 A4 A3 A2 A1 A0 Y2 Y1 Y0
0 0 0 0 0 0 0 1 0 0 0 0 0 0 1 00 0 0 0 0 1 0 00 0 0 0 1 0 0 00 0 0 1 0 0 0 00 0 1 0 0 0 0 00 1 0 0 0 0 0 01 0 0 0 0 0 0 0 0 0 00 0 10 1 00 1 11 0 01 0 11 1 01 1 1

表5.1.2 74LS148 8线—3线二进制编码器功能表

图5.1.2 74LS148电路图 图5.1.1 74LS148管脚图

74LS148工作原理如下:
该编码器有8个信号输入端,3个二进制码输出端。此外,电路还设置了输入使能端EI,输出使能端EO和优先编码工作状态标志GS。
当EI=0时,编码器工作;而当EI=1时,则不论8个输入端为何种状态,3个输出端均为高电平,且优先标志端和输出使能端均为高电平,编码器处于非工作状态。这种情况被称为输入低电平有效,输出也为低电来有效的情况。当EI为0,且至少有一个输入端有编码请求信号(逻辑0)时,优先编码工作状态标志GS为0。表明编码器处于工作状态,否则为1。由功能表可知,在8个输入端均无低电平输入信号和只有输入0端(优先级别最低位)有低电平输入时,A2A1A0均为111,出现了输入条件不同而输出代码相同的情况,这可由GS的状态加以区别,当GS=1时,表示8个输入端均无低电平输入,此时A2A1A0=111为非编码输出;GS=0时,A2A1A0=111表示响应输入0端为低电平时的输出代码(编码输出)。EO只有在EI为0,且所有输入端都为1时,输出为0,它可与另一片同样器件的EI连接,以便组成更多输入端的优先编码器。

74LS148功能表
从功能表不难看出,输入优先级别的次为7,6,……,0。输入有效信号为低电平,当某一输入端有低电平输入,且比它优先级别高的输入端无低电平输入时,输出端才输出相对应的输入端的代码。例如5为0。且优先级别比它高的输入6和输入7均为1时,输出代码为010,这就是优先编码器的工作原理

5.2 锁存器74LS279

原理:在74ls279中,由于4回路中2回路置位端子为两个,所以使用其一时,整理两个置位输入作为1个使用,或将另一个输入固定为“H”使用。另外,作为稍微变化74LS279 的使用方法,也可将3组作为RS锁存器使用,剩余的RS锁存器作为2输入NAND门电路使用,复位输入例如①管脚固定为”L”时其输入为”H”,所以可构成将②和③作为输入,输出为④的2输入NAND,此变换如图2所示。

图5-6 74LS279管脚引线图

5.3中规模集成BCD七段显示译码驱动器
译码与编码是相反的过程,是将二进制代码表示的特定含义翻译出来的过程。能实现译码功能的组合逻辑电路称为译码器。
集成译码器可分为三种,即:二进制译码器、二-十进制译码器和显示译码器。
二进制译码器是将输入的二进制代码的各种状态按特定含义翻译成对应输出信号的电路。也称为变量译码器。若输入端有n位,代码组合就有2n个,当然可译出2n个输出信号。
显示译码器由译码输出和显示器配合使用,最常用的是BCD七段译码器。其输出是驱动七段字形的七个信号,常见产品型号有74LS48、74LS47等。
字符显示器:分段式显示是将字符由分布在同一平面上的若干段发光笔划组成。电子计算器,数字万用表等显示器都是显示分段式数字。而LED数码显示器是最常见的。通常有红、绿、黄等颜色。LED的死区电压较高,工作电压大约1.5~3V,驱动电流为几十毫安。图5-2是七段LED数码管的引线图和显示数字情况。74LS47译码驱动器输出是低电平有效,所以配接的数码管须采用共阳极接法;而74LS48译码驱动器输出是高电平有效,所以,配接的数码管须采用共阴极接法。数码管常用型号有BS201、BS202等。图5-3(a)是共阴式LED数码管的原理图,使用时,公阴极接地,7个阳极a~g由相应的BCD七段译码器来驱动,如图5-3(b)所示。

(a)引线图 (b)七段字形组合情况

图5-2 七段LED数码管

图5-3 共阴式LED数码管的原理图和驱动电路

上面提到,74LS48是输出高电平有效的中规模集成BCD七段显示译码驱动器,它的功能简图和管脚引线图如图5-4所示。其真值表见表5-2所示。

表5-2 74LS48BCD七段译码驱动器真值表
十进制数或功能 输 入 输 出
A3 A2 A1 A0 a b c d e f g
0 1 1 0 0 0 0 1 1 1 1 1 1 1 0
1 1 × 0 0 0 1 1 0 1 1 0 0 0 0
2 1 × 0 0 1 0 1 1 1 0 1 1 0 1
3 1 × 0 0 1 1 1 1 1 1 1 0 0 1
4 1 × 0 1 0 0 1 0 1 1 0 0 1 1
5 1 × 0 1 0 1 1 1 0 1 1 0 1 1
6 1 × 0 1 1 0 1 0 0 1 1 1 1 1
7 1 × 0 1 1 1 1 1 1 1 0 0 0 0
8 1 × 1 0 0 0 1 1 1 1 1 1 1 1
9 1 × 1 0 0 1 1 1 1 1 0 0 1 1
10 1 × 1 0 1 0 1 0 0 0 1 1 0 1
11 1 × 1 0 1 1 1 0 0 1 1 0 0 1
12 1 × 1 1 0 0 1 0 1 0 0 0 1 1
13 1 × 1 1 0 1 1 1 0 0 1 0 1 1
14 1 × 1 1 1 0 1 0 0 0 1 1 1 1
15 1 × 1 1 1 1 1 0 0 0 0 0 0 0
灭灯 × × × × × × 0 0 0 0 0 0 0 0
灭零 1 0 0 0 0 0 0 0 0 0 0 0 0 0
试灯 0 × × × × × 1 1 1 1 1 1 1 1

74LS48的输入端是四位二进制信号(8421BCD码),a、b、c、d、e、f、g是七段译码器的输出驱动信号,高电平有效。可直接驱动共阴极七段数码管, 是使能端,起辅助控制作用。
使能端的作用如下:
(1) 是试灯输入端,当 =0, =1时,不管其它输入是什么状态,a~g
七段全亮;
(2)灭灯输入 ,当 =0,不论其它输入状态如何,a~g均为0,显示管熄灭;
(3)动态灭零输入 ,当 =1, =0时,
如果 =0000时,a~g均为各段熄灭;
(4) 动态灭零输出 ,它与灭灯输入 共用一个引出端。当 =0或 =0且 =1, =0000时,输出才为0。片间
与 配合,可用于熄灭多位数字前后所不需要显示的零。

74LS48功能简图 74LS48管脚引线

6系统调试

把上面所设计的单元电路连接起来可得到整机电路。然后可在印刷电路板上焊接分立元件并进行调
试。在调试的过程中可能会遇到由于逻辑门传输延时的存在而带来的竞争冒险问题 ,主要表现在当按键
大于8 时 ,在连续按键的情况下大约有10 %的可能性误显示为 8 ,

1. 测试使用的主要的仪器和仪表是万用表。
2. 调试电路的方法和技巧是用红黑表笔测试接电源处是否有电压显示,再用表笔分别测试各集成块和电阻,电容的电压,注意用手背触摸一下,检查各仪器是否发热工作,。
3. 测试的数据电压显示为6.69伏等。
4. 调试中出现的故障,原因及排除方法有的电阻焊接为虚焊,原因是检查发生漏洞,排除方法是再焊接;74LS148接地引角8不为零,可能焊连,去掉焊连部分。

7系统功能、指标参数
1)八个抢答按钮电阻R1~R8:由74系列参数Iil<=Iil(max)=1.6mA,所以R>=(Vcc-Vol)/Iil=3k,取(R1~R8)=5k。

8总结与体会

9谢辞

10参考文献
1.康华光.电子技术基础[M].北京:高等教育出版社.1980

你可以参看一下PLC方面的书籍。这个是典型的例子。大部分教科书上都有。

  • 澶氳矾鏅哄姏绔炶禌鎶㈢瓟鍣ㄧ殑璁捐
    绛旓細1銆佸熀鏈姛鑳:(1)璁′竴涓鏅哄姏绔炶禌鎶㈢瓟鍣,鍙悓鏃朵緵8鍚嶉夋墜鎴8涓唬琛ㄩ槦鍙傚姞姣旇禌,浠栦滑鐨勭紪鍙峰垎鍒槸0銆1銆2銆3銆4銆5銆6銆7,鍚勭敤涓涓姠绛旀寜閽,鎸夐挳鐨勭紪鍙蜂笌閫夋墜鐨勭紪鍙风浉瀵瑰簲,鍒嗗埆鏄... 1銆 鍩烘湰鍔熻兘:(1) 璁′竴涓櫤鍔涚珵璧涙姠绛斿櫒,鍙悓鏃朵緵8鍚嶉夋墜鎴8涓唬琛ㄩ槦鍙傚姞姣旇禌,浠栦滑鐨勭紪鍙峰垎鍒槸0銆1銆2銆3銆4銆5銆...
  • 鎻忚堪涓嬫湰鐢佃矾鏄浣曞疄鐜板洓璺緭鍏鎶㈢瓟鍔熻兘鐨?
    绛旓細1銆璁捐涓涓澶氳矾鏅哄姏绔炶禌鎶㈢瓟鍣锛屽悓鏃朵緵 8 涓夋墜鍙傝禌锛岀紪鍙峰垎鍒负 0 鍒 7 锛屾瘡涓敤涓鎶㈢瓟鎸夐敭銆2銆佺粰鑺傜洰涓绘寔浜轰竴涓帶鍒跺紑鍏筹紝瀹炵幇绯荤粺娓呴浂鍜屾姠绛旂殑寮濮嬨3銆佸叿鏈夋暟鎹攣瀛樺拰鏄剧ず鍔熻兘銆傛姠绛斿紑濮嬪悗锛屽鏋滄湁閫夋墜鎸変笅鎶㈢瓟鎸夐敭锛屽叾缂栧彿绔嬪嵆閿佸瓨骞舵樉绀哄湪 LED 涓婏紝鍚屾椂鎵0鍣ㄦ姤璀︺傛澶栵紝绂佹鍏朵粬閫夋墜鍐嶆鎶㈢瓟...
  • 澶氳矾鏅哄姏绔炶禌鎶㈢瓟鍣鍜嬪仛鍛,澶у甯府蹇欏搱,璋㈣阿
    绛旓細END 杩欎釜鏄笁璺殑鎶㈢瓟鍣 浣犲啀鍔犱竴璺 绋嶅井鏀逛竴涓嬪氨鎴澶氳矾鐨勪簡
  • 鎶㈢瓟鍣ㄧ殑鐨勮璁鍘熺悊 鍙婂浘
    绛旓細鐢佃矾鍘熺悊濡傞檮鍥撅紝瀹冪敱IC1鍜屼竴涓紪鐮佸紑鍏充互鍙婃帶鍒跺櫒绛夌粍鎴愩傜紪鐮佸紑鍏虫槸鐢盜C1涓殑杈撳叆绔疉銆丅銆丆銆丏涓庝簩鏋佺鍜屾寜閿粍鎴愩傞獙璇佺紪鐮佸紑鍏虫槸鍚︽纭紝鍙鎸変綇浠绘剰涓涓寜閿備娇瀹冩湁涓涓鐢靛钩杈撳叆锛屾暟鐮佺灏变細鏄剧ず鐩稿簲鐨勬暟瀛楁潵銆侫銆丅銆丆銆丏杩欏洓鏉$紪鐮佺嚎銆傚垎鍒槸1銆2銆4銆8銆3鍒欐槸鐢1+2鍚屾椂杈撳叆涓涓...
  • 姹51鍗曠墖鏈轰豢鐪熺▼搴廲璇█鐨
    绛旓細鐢51鍗曠墖鏈璁捐澶氳矾绔炶禌鎶㈢瓟鍣锛岃繖绉嶉鐩紝鍦ㄧ櫨搴︿笂鐪熸槸娉涙互鎴愮伨浜嗭紝闅忎究涓鎼滃氨鑳芥悳鍒颁竴澶у爢锛屾湁浠跨湡鍥惧拰绋嬪簭鍏ㄩ儴璧勬枡鐨勩傛垨鑰呯櫨搴︽枃搴撻噷涔熷悓鏍峰彲浠ユ悳绱㈠埌锛岃繕鏄瘯涓氳鏂囷紝鏇存槸璇︾粏銆備笅鍥炬槸涓涓8璺姠绛斿櫒鐨勪豢鐪熷浘銆
  • 鍏矾鎶㈢瓟鍣璇剧▼璁捐鍙婂叾鎶ュ憡(鎬ユユ) 璋㈣阿鍚勪綅鏈嬪弸甯繖!!
    绛旓細璁捐浠诲姟鍙婅姹傝璁′竴涓鏅哄姏绔炶禌鎶㈢瓟鍣,鍏蜂綋瑕佹眰濡備笅:(1)鍙悓鏃朵緵6鍚嶉夋墜鎴6涓唬琛ㄩ槦鍙傚姞姣旇禌,姣忎釜閫夋墜涓涓姠绛旀寜閽,鎸夐挳鐨勭紪鍙蜂笌閫夋墜鐨勭紪鍙风浉瀵瑰簲;(2)鑺傜洰涓绘寔浜鸿缃竴涓帶... 璁捐浠诲姟鍙婅姹傝璁′竴涓櫤鍔涚珵璧涙姠绛斿櫒,鍏蜂綋瑕佹眰濡備笅:(1)鍙悓鏃朵緵6鍚嶉夋墜鎴6涓唬琛ㄩ槦鍙傚姞姣旇禌,姣忎釜閫夋墜涓涓姠绛旀寜閽,鎸夐挳鐨勭紪鍙...
  • 鏁板瓧鐢佃矾鎶㈢瓟鍣ㄨ璁
    绛旓細涓銆佽璁$洰鏍 璁捐涓涓甫鏈夌敤鎴烽夋墜鎸変笅鍚,鍏朵粬鐢ㄦ埛閫夋墜鎸変笅鏃犳晥,鍚屾椂,鍝嶈鎶ャ佹樉绀烘槸璋佹寜涓嬬殑銆傜敱涓绘寔浜哄紑鍏冲浣嶇殑鎶㈢瓟鍣ㄣ備簩銆 鍩烘湰鍔熻兘鎴璁捐鐨勬姠绛斿櫒鏈夊涓嬪姛鑳:鏈変汉鎸変笅鏃,鏄剧ず鏄皝鎸変笅鐨勩傚悓鏃,鍏朵粬浜哄啀鎸変笅鏃剁數璺笉鍋氫换浣曞鐞嗐備篃灏辨槸璇,濡傛灉鏈変汉鎸変笅浠ュ悗,鍒汉鍐嶆寜鐨勮瘽鐢佃矾鏃笉浼氭樉绀烘槸浠栨寜涓嬬殑銆
  • 绠鏄撲笁璺鎶㈢瓟鍣 璇剧▼璁捐 鎬,鑳戒粖澶╂櫄涓婂嚭鏉ョ殑 400鍒
    绛旓細涓夈璁捐鍘熺悊涓庡弬鑰冪數璺 1)鏁板瓧鎶㈢瓟鍣ㄦ讳綋鏂规鍥 2)鐢佃矾鍙婂叾鐢佃矾鍥 鍥涖佹暣鏈虹數璺璁 浜斻佸疄楠岃皟璇 鍏佺數璺殑妫娴嬫柟娉 鍙傝冩枃鐚 鍘 鏂 : 鍘熺悊鍒嗘瀽涓庣數璺璁 涓銆佽璁″唴瀹逛笌瑕佹眰 1锛夎璁″唴瀹癸細1锛屽埄鐢ㄥ悇绉嶅櫒浠惰璁′竴涓澶氳矾鏅哄姏绔炶禌鎶㈢瓟鍣銆2锛屽埄鐢ㄧ數璺澘瀵规墍璁捐鐨勭數璺繘琛屾楠屻3锛屾荤粨妫楠岀數璺璁...
  • 3浜虹殑鎶㈢瓟鐢佃矾鍥,瑕佸浘鍟,绠鍗曞摢绉
    绛旓細http://www.cndzz.com/info/630-1.htm 鐢靛瓙璁捐 楂樼伒鏁忔棤绾胯瘽绛 寰瀷绐冨惉鍣ㄧ數璺 姘翠綅鎸囩ず鍙婃按婊℃姤璀﹀櫒 姹借溅鐢垫睜鐢靛帇鐩戣鍣 鎶㈢瓟鍣ㄧ殑璁捐涓庡埗浣 鏃犳簮鍨嬪仠鐢垫姤璀﹀櫒 浼竵妫楠屽櫒 涔愬0寮鍏 鐢 鐑 鎶㈢瓟鍣ㄧ殑璁捐涓庡埗浣 鎶㈢瓟鍣ㄦ槸绔炶禌闂瓟涓竴绉嶅父鐢ㄧ殑蹇呭瑁呯疆 , 浠庡師鐞嗕笂璁 , 瀹冩槸涓绉嶅吀鍨嬬殑鏁板瓧鐢佃矾 ,...
  • 鍥涗汉鎶㈢瓟鍣ㄧ殑绋嬪簭!!姹備豢鐪熷浘
    绛旓細l:LANG="EN-US">涓銆 璇剧▼璁捐瑕佹眰 l:LANG="EN-US">(1)璁捐鐢ㄤ簬绔炶禌鎶㈢瓟鐨鍥涗汉鎶㈢瓟鍣銆傗憼鏈澶氳矾鎶㈢瓟锛屾姠绛斿彴鏁颁负l:LANG="EN-US">4銆傗憽鍏锋湁鎶㈢瓟鍓嶆姠绛斿彴鍙峰苟鏄剧ず鐘璀︽姤锛沴:LANG="EN-US">(2)绯荤粺澶嶄綅鍚庤繘鍏ユ姠绛旂姸鎬侊紝褰撴湁涓璺姠绛旀寜閿鎸変笅锛岃璺姠绛斾俊鍙峰皢鍏朵綑鍚勮矾鎶㈢瓟淇″彿灏侀攣锛屽悓鏃...
  • 扩展阅读:扫一扫整本答案 ... 四人竞赛抢答器仿真图 ... 免费答题扫一扫 ... 多路抢答器课程设计 ... 答题神器一扫就出答案 ... 扫一扫出答案免费网站 ... 扫题出答案不用下载 ... 扫一扫一秒出答案 ... 免费拍照答题一秒出答案 ...

    本站交流只代表网友个人观点,与本站立场无关
    欢迎反馈与建议,请联系电邮
    2024© 车视网