关于数字电路3线—8线译码器的问题 求数字电路高手!!关于3线8线译码器问题,Y0非到Y7非输出...

\u5173\u4e8e\u6570\u5b57\u7535\u8def3\u7ebf\u20148\u7ebf\u8bd1\u7801\u5668\u7684\u95ee\u9898

\u8fd9\u4e2a\u7535\u8def\u5e94\u8be5\u5f88\u7b80\u5355\uff0c\u4e0d\u7528\u753b\u771f\u503c\u8868\uff0c\u8981\u4e0d\u7136\u53cd\u800c\u8d70\u4e86\u5f2f\u8def\u3002
\u4e09\u4f4d\u4e8c\u8fdb\u5236\u8f93\u5165\u4ee3\u7801\u8bd1\u7801\u540e\u4e3a0-8\uff0c\u5176\u4e2d\u80fd\u88ab5\u6574\u9664\u7684\u53ea\u67090\u548c5\u3002\u5f53\u6ca1\u6709\u4ee3\u7801\u8f93\u5165\u65f6\uff0c74LS138\u76848\u4e2a\u8f93\u51fa\u7aef\u90fd\u5448\u73b0\u9ad8\u7535\u5e73\u3002\u4f60\u53ef\u4ee5\u628a74LS138\u8f93\u51fa\u7aef\u76840\u30015\u811a\u63a5\u5230\u4e00\u4e2a2\u8f93\u5165\u4e0e\u975e\u95e8\uff0c74LS138\u8f93\u51fa\u7684\u5176\u5b83\u5f15\u811a\u60ac\u7a7a\uff0c\u4e0e\u975e\u95e8\u8f93\u51fa\u7aef\u7684\u7535\u5e73\u5c31\u53ef\u4ee5\u8fbe\u5230\u4f60\u7684\u8981\u6c42\u4e86\u3002
\u5e0c\u671b\u8fd9\u80fd\u5e2e\u5230\u4f60\uff01

\u8f93\u51fa\u7aef\u5e26\u5708\u7684\uff0c\u5c31\u662f\u6307\u8f93\u51fa\u7684\u6709\u6548\u7535\u5e73\u4e3a\u4f4e\u7535\u5e73\uff0c\u8f93\u5165\u7aef\u5e26\u5708\u7684\uff0c\u8868\u793a\u8f93\u5165\u6709\u6548\u7535\u5e73\u4e3a\u4f4e\u7535\u5e73\uff0c\u5426\u5219\u4e3a\u9ad8\u7535\u5e73\uff0c\u4f60\u770b\u4e00\u4e0b3\u7ebf-8\u7ebf\u8bd1\u7801\u5668\u7684\u529f\u80fd\u8868\u5c31\u53ef\u4ee5\u77e5\u9053\uff0c\u5f53\u5b83\u4f5c\u4e3a\u8bd1\u7801\u5668\u7684\u903b\u8f91\u529f\u80fd\u4f7f\u7528\u65f6\uff0c\u8f93\u51fa\u7684\u6709\u6548\u7535\u5e73\u90fd\u4e3a\u4f4e\u7535\u5e73

这个电路应该很简单,不用画真值表,要不然反而走了弯路。
三位二进制输入代码译码后为0-8,其中能被5整除的只有0和5。当没有代码输入时,74LS138的8个输出端都呈现高电平。你可以把74LS138输出端的0、5脚接到一个2输入与非门,74LS138输出的其它引脚悬空,与非门输出端的电平就可以达到你的要求了。
希望这能帮到你!



  • 鍏充簬鏁板瓧鐢佃矾3绾库8绾胯瘧鐮佸櫒鐨闂
    绛旓細杩欎釜鐢佃矾搴旇寰堢畝鍗,涓嶇敤鐢荤湡鍊艰〃,瑕佷笉鐒跺弽鑰岃蛋浜嗗集璺 涓変綅浜岃繘鍒惰緭鍏ヤ唬鐮璇戠爜鍚庝负0-8,鍏朵腑鑳借5鏁撮櫎鐨勫彧鏈0鍜5銆傚綋娌℃湁浠g爜杈撳叆鏃,74LS138鐨8涓緭鍑虹閮藉憟鐜伴珮鐢靛钩銆備綘鍙互鎶74LS138杈撳嚭绔殑0銆5鑴氭帴鍒颁竴涓2杈撳叆涓庨潪闂,74LS138杈撳嚭鐨勫叾瀹冨紩鑴氭偓绌,涓庨潪闂ㄨ緭鍑虹鐨勭數骞冲氨鍙互杈惧埌浣犵殑瑕佹眰浜嗐 甯屾湜杩欒兘甯埌...
  • 3-8璇戠爜鍣ㄧ殑鍔熻兘
    绛旓細3-8璇戠爜鍣ㄧ殑鍔熻兘鏄帴鏀朵竴涓笁浣嶄簩杩涘埗杈撳叆淇″彿骞跺皢鍏惰瘧鐮佹垚鏈澶氬叓涓緭鍑轰俊鍙蜂腑鐨勪竴涓傚叿浣撴潵璇达紝涓涓3-8璇戠爜鍣ㄦ槸涓涓鏁板瓧閫昏緫鐢佃矾璁惧锛屽畠鏈変笁涓緭鍏ョ鍜屽叓涓緭鍑虹銆傚叾涓昏鍔熻兘鏄皢涓涓笁浣嶄簩杩涘埗鏁扮殑杈撳叆淇″彿杞崲涓虹壒瀹氱殑杈撳嚭淇″彿銆備互涓嬫槸鍏充簬鍏跺姛鑳界殑 1. 杈撳叆瑙g爜锛璇戠爜鍣ㄧ殑涓涓緭鍏ョ鎺ユ敹...
  • 3-8璇戠爜鍣ㄧ殑宸ヤ綔鍘熺悊
    绛旓細3-8璇戠爜鍣ㄧ殑宸ヤ綔鍘熺悊鏄氳繃鎺ユ敹涓涓涓浣嶄簩杩涘埗杈撳叆淇″彿锛屽皢鍏惰В鐮佷负鍏釜杈撳嚭淇″彿涓殑涓涓備互涓嬫槸 涓銆佽瘧鐮佸櫒鐨勫熀鏈粨鏋 3-8璇戠爜鍣ㄦ槸涓绉鏁板瓧閫昏緫鐢佃矾锛屽叾缁撴瀯涓昏鍖呮嫭澶氫釜杈撳叆绔拰杈撳嚭绔傚叾涓紝杈撳叆绔帴鏀朵笁浣嶄簩杩涘埗淇″彿锛岃岃緭鍑虹鍒欒礋璐d骇鐢熷叓涓嫭绔嬬殑浜岃繘鍒惰緭鍑轰俊鍙枫傝繖浜涜緭鍑轰俊鍙蜂腑鍙湁涓涓槸楂...
  • 濡備綍鍒╃敤3绾-8绾胯瘧鐮佸櫒璁捐澶氳緭鍑洪昏緫鐢佃矾?
    绛旓細Y1 = A'BC + AB'C + ABC'2. 鏍规嵁鍖栫畝鍚庣殑鍑芥暟锛屾垜浠彲浠ュ彂鐜帮紝姣忎釜杈撳嚭瀵瑰簲涓や釜鎴栦互涓婄殑杈撳叆缁勫悎锛屽洜姝ら渶瑕佸埄鐢3绾-8绾胯瘧鐮佸櫒鏉ュ疄鐜拌緭鍑虹殑澶氳矾閫夋嫨銆3. 閽堝姣忎釜杈撳嚭锛岃璁″疄鐜伴昏緫鐢佃矾銆備互Y0涓轰緥锛屾垜浠厛鑰冭檻璇戠爜鍣ㄧ殑杈撳嚭绾胯繛鎺ョ殑鍝簺杈撳叆鍙o紝鍙互浣垮叾杈撳嚭涓轰綆鐢靛钩銆傞氳繃瑙...
  • 3绾-8绾胯瘧鐮佸櫒宸ヤ綔鍘熺悊?
    绛旓細3绾-8绾胯瘧鐮佹槸璇戠爜鍣ㄤ富瑕佹槸鎶婅緭鍏ョ殑浜岃繘鍒剁爜缈昏瘧鎴愯緭鍑轰俊鍙枫3绾-8绾胯瘧鐮佺殑杈撳叆杈撳嚭鏈夋晥鐢ㄦ瀬鎬ф寚绀虹琛ㄧず锛屽悓鏃舵瀬鎬ф寚绀虹鍙堟爣鏄庝簡淇″彿鏂瑰悜銆74138鐨勪笁涓緭鍏ヤ娇鑳斤紙鍙堢О閫夐歋T锛変俊鍙蜂箣闂存槸涓庨昏緫鍏崇郴銆侲N1楂樼數骞虫湁鏁堬紝EN2A鍜孍N2B浣庣數骞虫湁鏁堛傚彧鏈夊湪鎵鏈変娇鑳界閮戒负鏈夋晥鐢靛钩锛圗N1EN2AEN2B=100锛夋椂锛...
  • 3绾8绾胯瘧鐮佸櫒鍘熺悊鏄粈涔
    绛旓細3绾8绾胯瘧鐮佸櫒鍘熺悊3绾8绾胯瘧鐮佸櫒鏄竴绉嶇數璺紝瀹冨彲浠ュ皢涓変釜浜岃繘鍒惰緭鍏ヤ綅杞崲涓哄叓涓簩杩涘埗杈撳嚭浣嶃傝繖鏄氳繃灏嗕笁涓緭鍏ヤ綅涓庝竴缁勫叓涓緭鍑轰綅鐩稿搴旀潵瀹炵幇鐨勩傝繖绉嶈浆鎹㈡槸閫氳繃浣跨敤涓涓彨鍋氫笁杩涘埗-鍏繘鍒璇戠爜鍣ㄧ殑鐢佃矾鏉ュ疄鐜扮殑銆傝鐢佃矾閫氳繃浣跨敤涓缁勭數璺厓浠讹紝濡傚弻涓夋瀬绠★紝瀹炵幇杞崲銆傝繖绉嶇被鍨嬬殑璇戠爜鍣ㄩ氬父鐢ㄤ簬鏁版嵁...
  • 璇曠敤3绾库斺8绾胯瘧鐮佸櫒74LS138鍜岄棬鐢佃矾瀹炵幇涓嬮潰澶氳緭鍑洪昏緫鍑芥暟 Y1=AC Y...
    绛旓細鏈枃灏嗕粙缁嶄娇鐢74LS138 3绾-8绾胯瘧鐮佸櫒鍜岄棬鐢佃矾瀹炵幇澶氳緭鍑洪昏緫鍑芥暟 Y1=AC, Y2=ABC+ABC+BC, Y3=ABC+BC鐨勮繃绋嬨傞鍏堬紝灏74LS138鐨勪笁涓夐氳緭鍏ヨ涓篈BC銆傚浜嶻1=AC锛屽綋ABC涓101鎴111鏃讹紝Y1杈撳嚭涓1銆傚綋ABC涓101鏃讹紝璇戠爜鍣ㄩ夋嫨Y5锛屾鏃禮5杈撳嚭0锛屽叾浠栬緭鍑轰负1銆傞氳繃杩炴帴Y5鍜孻7鍒颁竴涓笌闈為棬锛屽彲浠...
  • 鍏充簬鏁扮數3绾-8绾胯瘧鐮佸櫒74HC138鐨勯棶棰 浠涔堟槸鏉ヨ嚜浣庝綅鍊熶綅鍜屽悜楂樹綅鍊熶綅...
    绛旓細鍏ㄥ噺鍣ㄥ氨鏄噺娉曞櫒锛屼互鍗佽繘鍒舵潵涓句釜渚嬪瓙璇达紝31锛18 鍏朵腑鍗佷綅鏁颁笂鐨勪袱涓暟鐩稿噺锛31鐨3鍑18鐨1 鍏朵腑3鍙鍑忔暟A锛1鍙噺鏁癇锛岃繕鏈変竴涓槸涓綅鏁31鐨1鍑18鐨8涓嶅鏃讹紝鍚戦珮浣嶅熶簡涓1锛岃繖涓彨鏉ヨ嚜浣庝綅鐨勫熶綅C锛涘緱鍒扮殑缁撴灉鏄1绉颁负宸瓺锛屽鏋滆繖涓樊灏忎簬0锛屽垯杩橀渶瑕佸悜鍐嶉珮涓浣嶅熶綅锛岃繖灏辩О涓篤銆
  • 3绾跨殑璇戠爜鍣鏄庢牱璁$畻8绾跨殑杈撳嚭鐨勫憿?
    绛旓細3--8绾胯瘧鐮佸櫒杈撳叆鐨凙2A1A0=110涓哄崄杩涘埗鏁6锛屾墍浠ュ搴旂殑杈撳嚭搴旇涓烘渶灏忛」m6'锛屽嵆杈撳嚭搴斾负11111101銆3--8绾胯瘧鐮佸櫒鐨璁$畻鏈哄師鐞嗭細ABC涓涓緭鍏ワ紝姣忎竴涓緭鍏ワ紝鎷嗗垎鎴2璺紝瀵瑰簲鍒1鍜0銆傞氳繃涓涓潪闂ㄦ潵瀹炵幇銆備竴涓湁6涓嚎璺紝123456锛屾湁8涓緭鍑猴紝姣忎竴涓緭鍑猴紝瀵瑰簲3涓嚎璺
  • 濡備綍浣跨敤涓や釜3-8绾胯瘧鐮佸櫒绾ц仈瀹炵幇4-16绾胯瘧鐮佸櫒?
    绛旓細1. 浜嗚В3-8绾胯瘧鐮佸櫒鍜4-16绾胯瘧鐮佸櫒鐨鍩烘湰鍔熻兘 3-8绾胯瘧鐮佸櫒鏈3涓緭鍏ョ鍜8涓緭鍑虹锛屽畠鍙互灏3浣嶄簩杩涘埗浠g爜杞崲涓8浣嶈緭鍑轰腑鐨勪竴浣嶄负浣庣數骞筹紙0锛夛紝鍏朵綑涓洪珮鐢靛钩锛1锛夈傚悓鏍凤紝4-16绾胯瘧鐮佸櫒鏈4涓緭鍏ョ鍜16涓緭鍑虹锛屽彲浠ュ皢4浣嶄簩杩涘埗浠g爜杞崲涓16浣嶈緭鍑轰腑鐨勪竴浣嶄负浣庣數骞筹紝鍏朵綑涓洪珮鐢靛钩銆2....
  • 扩展阅读:24译码器数字电路图 ... 二线四线译码器 ... 4线10线译码器电路图 ... 译码器实现全加器 ... 60v72v通用控制器装60 ... 三线八线译码器 ... 对于8线 3线优先编码器 ... 4线16线译码器电路图 ... 24线译码器的逻辑电路图 ...

    本站交流只代表网友个人观点,与本站立场无关
    欢迎反馈与建议,请联系电邮
    2024© 车视网