利用74LS138设计一个三人表决器? 用3线8线译码器74LS138和与非门设计三人表决器。

\u75283\u2014\u20148\u7ebf\u8bd1\u7801\u566874LS138\u8bbe\u8ba1\u4e00\u4e2a\u4e09\u4eba\u8868\u51b3\u7535\u8def

1\u8868\u793a\u8d5e\u6210\u3002\u30020\u8868\u793a\u5426\u5b9a\u3002\u3002
011 101 110 111\u56db\u79cd\u60c5\u51b5\u8868\u51b3\u901a\u8fc7\u3002\u3002
A B C\u4ee3\u88683\u4e2a\u4eba\uff0c\u7136\u540e\u7b80\u5316\u3002\u3002\u6709\u975e\u95e8\u4e0d\u597d\u6253\u51fa\u516c\u5f0f\u6765\uff0c\uff0c\u601d\u8def\u5c31\u8fd9\u6837

\u4e0e\u975e\u95e8\u752874LS20\uff0c\u56db\u8f93\u5165\u4e0e\u975e\u95e8\u3002
0\u8868\u793a\u5426\u5b9a\u3002
011
101
110
111\u56db\u79cd\u60c5\u51b5\u8868\u51b3\u901a\u8fc7\u3002\u3002
A
B
C\u4ee3\u88683\u4e2a\u4eba\uff0c\u7136\u540e\u7b80\u53161\u8868\u793a\u8d5e\u6210

\u6269\u5c55\u8d44\u6599\uff1a
\u7528\u4e00\u57573\u7ebf-8\u7ebf\u8bd1\u7801\u566874LS138\u53ef\u4ee5\u7ec4\u6210\u4efb\u4f55\u4e00\u4e2a\u4e09\u53d8\u91cf\u8f93\u5165\u7684\u903b\u8f91\u51fd\u6570\uff0c\u4efb\u610f\u4e00\u4e2a\u8f93\u5165\u4e09\u53d8\u91cf\u7684\u903b\u8f91\u51fd\u6570\u90fd\u53ef\u4ee5\u7528\u4e00\u57573\u7ebf-8\u7ebf\u8bd1\u7801\u566874LS138\u6765\u5b9e\u73b0\u3002\u56e0\u4e3a\u4efb\u610f\u4e00\u4e2a\u7ec4\u5408\u903b\u8f91\u8868\u8fbe\u5f0f\u90fd\u53ef\u4ee5\u5199\u6210\u6807\u51c6\u4e0e\u6216\u5f0f\u7684\u5f62\u5f0f\uff0c\u5373\u6700\u5c0f\u9879\u4e4b\u548c\u7684\u5f62\u5f0f\uff0c\u800c\u00b7\u57573\u7ebf-8\u7ebf\u8bd1\u7801\u566874LS138\u7684\u8f93\u51fa\u6b63\u597d\u662f\u4e8c\u53d8\u91cf\u6700\u5c0f\u9879\u7684\u5168\u90e8\u4f53\u73b0\u3002
\u53c2\u8003\u8d44\u6599\u6765\u6e90\uff1a\u767e\u5ea6\u767e\u79d1-74LS138

1表示赞成,0表示否定。

011 101 110 111四种情况表决通过。

A B C代表3个人,然后简化。

或:

Sa,Sb,Sc为三裁判按键,按下=1通过,S为开始键

真值表中绿色圈为通过组合,通过後LED亮。

138译码器的ABC做为输入端,Y3,Y5,Y6,Y7连在一个与非门上,令其输出为Y,若Y为高电频,则表决通过,Y为低电频则表决不通过。

扩展资料:

74LS138可以组成三变量输入,四变量输入的任意组合逻辑电路。

用一块3线-8线译码器74LS138可以组成任何一个三变量输入的逻辑函数,任意一个输入三变量的逻辑函数都可以用一块3线-8线译码器74LS138来实现。因为任意一个组合逻辑表达式都可以写成标准与或式的形式,即最小项之和的形式,而·块3线-8线译码器74LS138的输出正好是二变量最小项的全部体现。

参考资料来源:百度百科-74LS138



利用74LS138设计一个三人表决器,输入变量为ABC,输出为Y,仿真图如下。



  • 鐢74ls138鍜74ls151璁捐涓変汉琛ㄥ喅鍣ㄥ拰鍏ㄥ姞鍣
    绛旓細鐢74ls138璁捐涓変汉琛ㄥ喅鍣 鐢74ls138璁捐鍏ㄥ姞鍣 鐢74ls151璁捐涓変汉琛ㄥ喅鍣
  • 璁捐涓涓涓夎緭鍏ヤ竴杈撳嚭鐨勫鏍¢獙鐢佃矾
    绛旓細F = (AB + AC + BC) * (ABC)'鍘熷浘鏄涓変汉琛ㄥ喅鍣紝F = AB + AC + BC 锛屼絾鏄鐩張涓嶅厑璁3涓緭鍏ュ叏涓 1 锛屾墍浠ュ啀鍔涓涓闄愬埗椤 (ABC)'銆
  • 鏁板瓧鐢靛瓙鎶鏈熀纭鍗佷釜瀹為獙姹囨
    绛旓細瀹為獙浜岋細74LS138璇戠爜鍣ㄥ姛鑳借В鏋鍒╃敤74LS138璇戠爜鍣紝瑙傚療LED鐏殑鍙嶅簲锛岄氳繃鐏寒鈥1鈥濅笌鈥0鈥濈殑鍙樺寲锛岀悊瑙3-8绾胯瘧鐮佸櫒濡備綍缁勫悎鍑哄叓绉嶉昏緫鐘舵侊紝瀹炵幇閫昏緫鍑芥暟鐨勮浆鎹傚疄楠屼笁锛74LS151鏁版嵁閫夋嫨鍣ㄧ殑鎺㈢储74LS151鏄8閫1鏁版嵁閫夋嫨鍣紝瀹為獙涓紝閫氳繃瑙傚療鎸囩ず鐏紝鐞嗚В鍏跺湪涓嶅悓浣胯兘鐘舵佷笅鐨勫伐浣滃師鐞嗭紝娣卞叆瑙f瀽鏁版嵁閫夋嫨...
  • 涓変汉琛ㄥ喅鍣,涓や釜浜哄悓鎰,鏁扮爜绠℃樉绀1,涓浜烘垨娌℃湁鏄剧ず0
    绛旓細楂樼數骞="1"鎴"H"锛屼綆鐢靛钩="0"鎴"L"锛屾暟鐮佺鍙渶瑕佹樉绀0鍜1锛屽張鎺掗櫎U2鐨勬偓绌鸿緭鍏 C D琚璇讳负"1"锛屽厛灏嗕笁涓緭鍏ュ姞涓嬫媺鐢甸樆銆傚張鎸夌數璺浘锛屽緟鏈烘椂(琛ㄥ喅鍓)涓変釜鎸夐敭J1~J3涓"1"锛岃屾寜涓=鍚屾剰="0"锛屼絾褰涓変汉閮戒笉鍚屾剰锛孞1~J3="1"锛屽鍥138鐪熷艰〃绾㈠湀鎯呭喌锛孶1杈撳叆(A,B,C)=(1,1,1...
  • 鐢74LS138璇戠爜鍣璁捐涓変汉涓炬墜琛ㄥ喅鐢佃矾涓や汉鍙婁袱浜轰互涓婇氳繃
    绛旓細鍥炵瓟锛74LS138璇戠爜鍣璁捐,缁忛獙涓板瘜 浣撳埗绋嬪簭鍋ュ叏,鎴戝府浣犺В鍐!~
  • 璁捐涓涓A.B.C涓変汉琛ㄥ喅鐢佃矾,褰撹〃鍐虫煇涓柟妗堟椂,澶氭暟浜哄悓鎰,鏂规閫氳繃,鍚屾椂...
    绛旓細F=B*(A+C)锛2銆3闂紝鍒楀嚭鐪熷艰〃灏辨竻妤氫簡锛涚幇鍦ㄨВ鍐1闂锛欰+C=锛圓' * C' 锛' --- 鈥 琛ㄧず 闈 閫昏緫 F=B*(A+C) = { [锛圓' * C' 锛' * B ]鈥 }鈥 銆
  • 鍒╃敤74LS138璁捐涓涓笁浜琛ㄥ喅鍣?
    绛旓細1琛ㄧず璧炴垚锛0琛ㄧず鍚﹀畾銆011 101 110 111鍥涚鎯呭喌琛ㄥ喅閫氳繃銆侫 B C浠h〃3涓汉锛岀劧鍚庣畝鍖栥傛垨锛歋a锛孲b锛孲c涓轰笁瑁佸垽鎸夐敭锛屾寜涓=1閫氳繃锛孲涓哄紑濮嬮敭 鐪熷艰〃涓豢鑹插湀涓洪氳繃缁勫悎锛岄氳繃寰孡ED浜138璇戠爜鍣ㄧ殑ABC鍋涓鸿緭鍏ョ锛孻3锛孻5锛孻6锛孻7杩炲湪涓涓涓庨潪闂ㄤ笂锛屼护鍏惰緭鍑轰负Y锛岃嫢Y涓洪珮鐢甸锛屽垯琛ㄥ喅閫氳繃锛...
  • 濡備綍浣跨敤闆嗘垚璇戠爜鍣74LS138璁捐涓涓笁浜琛ㄥ喅鍣???甯繖鐢诲嚭鐢佃矾鍥...
    绛旓細灏3-8璇戠爜鍣ㄧ殑杈撳嚭OUT(1銆2銆4銆7)浣滀负涓涓4杈撳叆鐨勬垨闂ㄧ殑杈撳叆锛屾垨闂ㄧ殑杈撳嚭浣滀负鍔犳硶鍣ㄧ殑鍜岋紱灏3-8璇戠爜鍣ㄧ殑杈撳嚭OUT(3銆5銆6銆7)浣滀负涓涓4杈撳叆鐨勬垨闂ㄧ殑杈撳叆銆傛垨闂ㄧ殑杈撳嚭浣滀负鍔犳硶鍣ㄧ殑杩涗綅杈撳嚭銆傚嵆瀹屾垚浜嗗姞娉曞櫒鐨璁捐銆傚洖杩囧ご鏉ュ垎鏋愶細褰撳姞娉曞櫒鐨勮緭鍏ュ垎鍒负锛歛=1,b=0,ci=1鏃躲傚搴3-8璇戠爜鍣ㄧ殑...
  • 鐢3绾8绾胯瘧鐮佸櫒74LS138鍜屼笌闈為棬璁捐涓変汉琛ㄥ喅鍣ㄣ
    绛旓細涓庨潪闂鐢74LS20锛屽洓杈撳叆涓庨潪闂ㄣ0琛ㄧず鍚﹀畾銆011 101 110 111鍥涚鎯呭喌琛ㄥ喅閫氳繃銆傘侫 B C浠h〃3涓汉锛岀劧鍚庣畝鍖1琛ㄧず璧炴垚
  • 鐢3绾8绾胯瘧鐮佸櫒74LS138鍜屼笌闈為棬璁捐涓変汉琛ㄥ喅鍣ㄣ
    绛旓細涓庨潪闂鐢74LS20锛屽洓杈撳叆涓庨潪闂ㄣ0琛ㄧず鍚﹀畾銆011 101 110 111鍥涚鎯呭喌琛ㄥ喅閫氳繃銆傘侫 B C浠h〃3涓汉锛岀劧鍚庣畝鍖1琛ㄧず璧炴垚
  • 扩展阅读:74ls138设计电灯开关 ... 74ls138时钟分配器波形图 ... 三开关控制一盏灯 74ls138 ... 用138设计一个全加器 ... 用138设计三人表决器 ... 三人表决器仿真图 ... 利用138设计三开关 ... 74ls138设计四人表决器 ... 用74hc138设计一位全加器 ...

    本站交流只代表网友个人观点,与本站立场无关
    欢迎反馈与建议,请联系电邮
    2024© 车视网