八路抢答器课程设计,急用~~~!!!~~~~高分 八路智能抢答器课程设计,急需!!!高分~~

\u516b\u8def\u667a\u80fd\u62a2\u7b54\u5668\u8bfe\u7a0b\u8bbe\u8ba1\uff0c\u6025\u9700\uff01\uff01\uff01\u9ad8\u5206~~

\u53ef\u4ee5\u4e0b\u4e00\u4e2a\u62a2\u7b54\u5668\u8f6f\u4ef6\uff0c\u7136\u540e\u505a\u4e00\u4e2a\u5c0f\u578b\u5c40\u57df\u7f51\u5c31\u53ef\u4ee5\u5b9e\u73b0\u3002\u60f3\u5f53\u5e74\u6211\u4eec\u529e\u7ade\u8d5b\u5c31\u662f\u8fd9\u4e48\u505a\u7684\u3002

\u867d\u7136\u5206\u4e0d\u9ad8\uff0c\u4f46\u80fd\u5e2e\u52a9\u4f60\u4e5f\u8fd8\u662f\u597d\u7684

\u53d1\u8fc7\u6765\u4e86
\u6570\u5b57\u62a2\u7b54\u5668\uff08\u6570\u5b57\u7535\u8def\uff09

电子技术课程设计

——————八路智力竞赛抢答器

学院: 华科学院
专业,班级:电气工程及其自动化062203H
姓名 段超
学号: 200622050308
指导老师: 黄庆彩

2008年1月

目录
一 设计任务与要求……………………………………3

二 总体框图……………………………………………3

三 选择器件……………………………………………4

四 功能模块……………………………………………6

五 电路的装配调试……………………………………9

六 心得体会……………………………………………11

八路智力竞赛抢答器

一.设计任务与要求
1.任务和要求
抢大器能容纳8名选手,并且给出相应的编号为1、2、3、4、5、6、7、8,为每名选手设置一个按键。为了简化设计,可以利用试验仪上的逻辑电平开关。(这部分要求由我主要负责)
设置一个给工作人员清零的开关,以便能开始新的一轮的抢答。为了简化设计,可以利用试验仪上的逻辑电平开关。
用LED数码管显示获得优先抢答的选手的编号,一直保持到工作人员清零或1分钟倒记时答题时间结束为止。
用LED数码管显示有效抢答后的1分钟到记时答题时间。
用喇叭发声知识有效抢答及答题时间的结束。(这部分要求由我主要负责)
秒信号不必考虑时间精度,可利用试验仪上所提供的连续脉冲(方波)。
二.总体框图
根据设计任务与要求,我初步将系统分为4大功能模块:主电路、数据采集电路、控制电路和音响电路。可将主电路分为一个十六进制(实现一分钟倒记时答题时间)计数、译码、显示电路;数据采集电路(获得优先抢答选手的编号)分为8路抢答开关、八D数据锁存器、优先编码器、加1电路;控制电路分为锁存控制、倒记时控制、音响控制;音响电路分为单稳态触发器、音振及喇叭电路。以下是我设计的总体框图:如图1所示

图一 总体框图
三.选择器件
整个电路的电子器件有:555定时器,74LS192,74LS148,74LS373,74LS00,74LS04以及若干电容和电阻。我详细介绍一下我所设计的这两个电路中所用到的重要器件(555定时器和 74LS373):
1.555定时器
555 定时器是一种模拟和数字功能相结合的中规模集成器件。555 定时器成本低,性能可靠,只需要外接几个电阻、电容,就可以实现多谐振荡器、单稳态触发器及施密特触发器等脉冲产生与变换电路。它也常作为定时器广泛应用于仪器仪表、家用电器、电子测量及自动控制等方面。555 定时器的内部电路框图和外引脚排列图分别如下图2:
图2 555定时器的内部电路框图和外引脚排列图
它内部包括两个电压比较器,三个等值串联电阻,一个 RS 触发器,一个放电管 T 及功率输出级。它提供两个基准电压VCC /3 和 2VCC /3 555 定时器的功能主要由两个比较器决定。两个比较器的输出电压控制 RS 触发器和放电管的状态。在电源与地之间加上电压,当 5 脚悬空时,则电压比较器 A1 的反相输入端的电压为 2VCC /3,A2 的同相输入端的电压为VCC /3。若触发输入端 TR 的电压小于VCC /3,则比较器 A2 的输出为 1,可使 RS 触发器置 1,使输出端 OUT=1。如果阈值输入端 TH 的电压大于 2VCC/3,同时 TR 端的电压大于VCC /3,则 A1 的输出为 1,A2 的输出为 0,可将 RS 触发器置 0,使输出为 0 电平。
2.74LS373
74373八D锁存器为三态输出的8 D透明锁存器, 373的输出端O0-O7可直接与总线相连。当三态允许控制端OE为低电平时,O0-O7为正常逻辑状态,可用来驱动负载或总线。当OE为高电平时,O0-O7呈高阻态,即不驱动总线,也不为总线的负载,但锁存器内部的逻辑操作不受影响。当锁存允许端LE为高电平时,O随数据D而变。当LE为低电平时,O被锁存在已建立的数据电平。当LE端施密特触发器的输入滞后作用,使交流和直流噪声抗扰度被改善400mV。
373引出端符号:
   D0~D7-----数据输入端     OE-----三态允许控制端
   LE-----锁存允许端             O0-O7-----输出端

74LS373外部管腿图、真值表、逻辑图,如下图3所示:

图3 74LS373外部管腿图、真值表、逻辑图
真值表中:L——低电平; H——高电平; X——不定态; Q0——建立稳态前Q的电平; G——输入端,与8031ALE连高电平:畅通无阻低电平:关门锁存。图中OE——使能端,接地。当G=“1”时,74LS373输出端1Q—8Q与输入端1D—8D相同;当G为下降沿时,将输入数据锁存。
四.功能模块
根据设计任务与要求,我初步将系统分为4大功能模块:主电路、数据采集电路、控制电路和音响电路。
1.主电路由六十进制计数器和译码、显示电路两部分组成。
2.控制电路由锁存控制和倒计时控制两部分组成。
以上的这两大模块部电路均由我的搭档负责
以下的数据采集电路和音响电路两个模块是由我主要负责,下面我就详细说明我所设计的这两模块:
3.数据采集电路
(1).八路抢答开关
为8位选手提供8个抢答的按钮,这样可以在松开按钮后及时复位,为下次做准备。这部分我利用的是试验仪上的8个逻辑开关,在接电路图的时候,只用一个开关仿真。
(2).八D数据锁存器
采用八D数据锁存器74LS373,抢答前应使锁存允许LE=1,此时允许选手抢答,当有选手抢答有效时,要利用控制电路中的LE=0,使数据被锁存,其它选手就抢答无效了。
(3).优先编码器
采用优先编码器74LS148,因为采用了高速控制电路,因此一旦抢答,立即锁存。
我所设计的控制电路将充分利用74LS148的两个输出信号:选通输出Ys和扩展输出YEX。
以下是我设计的数据采集电路电路图如图4所示:

图4数据采集电路

4.音响电路
(1).单稳态触发器
设音响提示时间为2秒左右,可采用一脉宽为2秒的单稳态触发器实现。
实现单稳态触发器的方法有很多,可以用与非门或者非门电路实现微分型单稳、利用施密特触发器实现单稳、集成单稳等。现采用555定时器实现,注意其脉宽的计算公式为tw=1.1RC.若一个负脉冲触发信号到来,将有效触发单稳态电路产生一个脉宽为2秒的正脉冲。
(2).音频振荡器及喇叭电路
利用555定时器实现频率约1kHz的音频振荡器,因555定时器有较强的功率输出能力,可以直接推动喇叭输出。
当单稳态触发器进入暂稳态产生一个正脉冲时,控制555定时器开始工作,发出响声;当单稳态触发器自动返回稳态后,555定时器清零,不能发声。
以下是我设计的电路图如图5所示:

图5 音响电路
五 电路的装配与调试
1.由图中所示的定时抢答器的总体方框,按时信号的流向分单元装配,逐级级联。
2.我接好电路后,开始检验我的结果,发现当有选手抢答后,没有开始倒计时,经过老师的指正我们找到了原因,并立即改正,实现了要求的结果。
3.我将裁判的开关拨至0再拨回1时,发现是总能在任意时间重新抢答。(与设计要求相符)
4.我检查到,当计数器减到00时,产生了一个负脉冲信号,同时也允许开始新一轮的抢答。(与设计要求相符)
5.最后我检查的是我所设计的音响电路,当我拨动一个选手开关后,音响电路所对应的绿灯开始发光,并开始倒计时。当计数器减到00时,绿灯再次发光提示。(与设计要求相符)
现给出我所设计的总电路图如图6所示:

图6 总电路图

我的仿真结果图如图7所示:

图7 分别是倒计时、数据采集、音响电路的仿真结果

六 心得体会
在设计之前,参考了许多相关的资料。在设计中又参考了以前讲过的四路抢答器的原理图,有了基本的思路。
但着手设计时,又出现了许多未预料到的问题,例如元件的选择:在选择编码器时,是采用普通编码器还是优先编码器。普通编码器中,任何时刻只允许输入一个编码信号,否则输出将发生混乱。所以选择了优先编码器。但是74LS系列中众多不同管脚的类型,选择哪个作编码器。经过查找,选择了74LS192,因为想用数字的形式显示抢答者的编号,所以选择了数码显示管,但数码显示管不能直接,数码显示管需要由TTL或CMOS集成电路驱动,所以在TTL还是CMOS集成电路上又进行了比较和选择。最后选择了数显译码器,用它将输出的二进制代码译成相对应的高、低信号,用其作为数码显示管的驱动信号,数码显示管显示出相对应的选手编号。在音响电路中,根据设计需要选择了555定时器。
在一些设计原理上也遇到了许多新问题。发现当电源接通后,无论有无人按按钮都会使音乐集成电路通电发出声响,经同学以及老师的指证,发现导通的原因,并及时的改正。
通过这次八路抢答器的设计,我发现了以往学习中的许多不足,也让我掌握了以往许多掌握的不太牢的知识,感觉学到了很多东西。三周的课程设计,留给我印象最深的是要设计一个成功的电路,必须要有耐性和坚持下去的毅力。在整个电路的安装调试的过程中,花费时间最多的是各个元件电路的连接,电路的细节设计以及连完线路后的检查工作上,其中在连接电路是出现问题比较多,在555元件和74LS192元件的连接的调试的时候出现了问题在老师的指导和讲解下我门有了更深刻的认识,同时对元件的原理的功能了解的更多更深刻。在这次过程中,我深刻的体会到在设计过程中,需要反复实践,其过程很可能相当的烦琐,有时花很长时间检查电路故障,分析原因,那时心中就有点灰心,有时还特别想放弃,此时更需要静下心来,更仔细的查找原因。
总之,这次实验过程中我受益匪浅,在摸索我和我的搭档实现了课题所要求的结果。培养了我的设计思维,增加了动手操作的能力。更让我体会到实现电路功能喜悦。

你这样提问太笼统了,是用什么控制器做,还是自己用模拟电路搭建,用CPLD或者单片机这样做都不难,自己写一个控制程序试试。

  • 鍏矾鎶㈢瓟鍣ㄨ绋嬭璁,鎬ョ敤~~~!!!~~~楂樺垎
    绛旓細涓:璁捐骞跺埗浣滀竴涓畝鏄撴暟瀛鎶㈢瓟鍣,鍏蜂綋瑕佹眰濡備笅:1.鎶㈢瓟缁勬暟鍒嗕负鍏粍,搴忓彿鍒嗗埆涓篠0~S7,浼樺厛鎶㈢瓟鑰呮寜鍔ㄦ湰缁勬寜閿,缁勫彿绔嬪嵆鍦↙ED鏄剧ず鍣ㄤ笂鏄剧ず,鍚屾椂灏侀攣浣忓叾浠栫粍鐨勬寜閿俊鍙枫2.绯荤粺... 涓:璁捐骞跺埗浣滀竴涓畝鏄撴暟瀛楁姠绛斿櫒,鍏蜂綋瑕佹眰濡備笅:1.鎶㈢瓟缁勬暟鍒嗕负鍏粍,搴忓彿鍒嗗埆涓篠0 ~ S7,浼樺厛鎶㈢瓟鑰呮寜鍔ㄦ湰缁勬寜閿,缁勫彿绔嬪嵆鍦↙...
  • 鍏矾鎶㈢瓟鍣鐢靛瓙鎶鏈璇剧▼璁捐缁煎悎瀹炶鎶ュ憡
    绛旓細AnhuiVocational&TechnicalCollegeofIndustry&Trade璇剧▼璁捐缁煎悎瀹炶鎶ュ憡鍏矾鏁板瓧鏄剧ず鎶㈢瓟鍣2013骞6鏈1鏃ヨ绋嬭璁$患鍚堝疄璁换鍔′功鐩綍寮曡█1涓銆佽璁′换鍔°佽姹傚強鏂规2锛堜竴锛夎璁′换鍔2锛堜簩锛夎璁¤姹2锛堜笁锛夎璁℃柟妗32銆佸崟鍏冪數璺璁4浜屻佸叓璺暟瀛楁樉绀烘姠绛斿櫒璁捐涓庡埗浣11锛堜竴锛夌數璺璁11锛堜簩锛夌數璺埗浣滐細12鎬荤粨16...
  • 姹:鏁板瓧鐢靛瓙鎶鏈璇剧▼璁捐,瑕佹瘮杈冪畝鍗曠殑銆
    绛旓細涓銆佺數璺粨鏋勫浘锛氬浘涓 浠ヤ笅鍥句簩銆佸浘涓変负鍥句竴鐨勬斁澶у浘锛氬浘浜 鍥句笁 鏈埗浣滄槸涓涓畝鏄撳疄鐢鐨8璺鏁板瓧鏄剧ず鎶㈢瓟鍣紝鍥句竴涓鸿鎶㈢瓟鍣ㄧ殑鏍稿績閮ㄥ垎锛屽寘鎷姠绛斻佺紪鐮併佷紭鍏堛侀攣瀛樸佹暟鏄惧強澶嶄綅绛夌數璺傛墍鐢ㄧ殑鍘熶欢闄ら泦鎴愮數璺疌D4511锛岃繕鏈14鍙狪N4148浜屾瀬绠★紝涓鍙9014锛圢PN锛変笁鏋佺锛15鍙數闃伙紝9鍙寜閿紑鍏筹紝SB1~SB8...
  • 鏁板瓧鐢靛瓙鎶鏈璇剧▼璁捐:鍏矾鏅哄姏绔炶禌鎶㈢瓟鍣鐨勪豢鐪熺數璺浘
    绛旓細鍙︿竴鐢佃矾 鍩轰簬4511鐨鍏矾鎶㈢瓟鍣锛氫豢鐪熺數璺3锛
  • 鍐嶅府涓繖鍗曠墖鏈璇剧▼璁捐鈥斺鍏矾鎶㈢瓟鍣鐨勬眹缂栬瑷绋嬪簭,鎬ラ渶...
    绛旓細鍋囪P0鍙f帴鏁扮爜绠★紝P1鎺鎶㈢瓟鍣寮鍏筹紝P2.0鎺ヨ渹楦e櫒 ORG 0000H SJMP STA ORG 0030H STA:MOV SP,#50H CLR EA MOV P0,#0FFH MOV P1,#0FFH LCALL DELAY MAIN1:MOV A,P1 CJNE A,#0FFH,MAIN2 SJMP MAIN1 MAIN2:JB ACC.0,MAIN21 MOV A,#1 LCALL DISPLAY LCALL DELAY SJMP MAIN1 MAIN21:...
  • 璇烽棶浣犺繕鏈鍏矾鎶㈢瓟鍣鐨勭▼搴忓悧?
    绛旓細鎴戞湁鍋氳繃杩欎釜璇剧▼璁捐锛鎴戝仛鐨勭粨鏋滃涓嬶細(1) 璁捐涓涓彲渚8浜鸿繘琛岀殑鎶㈢瓟鍣锛屾瘡涓汉鍙敤1涓崟鐙殑鎸夐敭锛岀紪鍙峰垎鍒负1鍙凤紝2鍙凤紝3鍙凤紝4鍙凤紝5鍙凤紝6鍙凤紝7鍙凤紝8鍙枫(2) 绯荤粺璁剧疆涓绘寔浜烘寜閽紝鍗充竴涓浣嶆寜閽拰涓涓郴缁熸竻闆堕敭銆傚浣嶉敭鎸夊姩鍚庯紝閲嶆柊寮濮嬫姠绛斻傛竻闆堕敭鎸変笅鍚庣郴缁熸竻闆躲(3)鎶㈢瓟鍣ㄥ叿鏈...
  • 姹侲DA鍏矾鎶㈢瓟鍣ㄨ绋嬭璁
    绛旓細鍋氳繃鍏矾鐨鎶㈢瓟鍣ㄨ璁★紝鑷繁鎶婅繖涓啀鏀规敼鍚 library ieee;use ieee.std_logic_1164.all;entity liulu is port(set锛宑lk锛宒1锛宒2锛宒3锛宒4锛宒5锛宒6:in std_logic; --瀹氫箟鍏釜杈撳叆涓涓椂閽熶竴涓浣 q:out std_logic_vector(3 downto 0); --鍚堟硶鎶㈢瓟鏃剁殑杈撳嚭 fq:out std_logic_vector...
  • 璇剧▼璁捐 鍏矾绔炶禌鎶㈢瓟鍣
    绛旓細1. 璁捐涓涓櫤鍔涚珵璧鎶㈢瓟鍣,鍙悓鏃朵緵8浜哄弬鍔犳瘮璧,浠栦滑鐨勭紪鍙峰垎鍒槸0銆1銆2銆3銆4銆5銆6銆7,鍚勭敤涓涓姠绛斿櫒鎸夐挳,鎸夐挳鐨勭紪鍙蜂笌閫夋墜鐨勭紪鍙风浉瀵瑰簲,鍒嗗埆鏄疭0銆丼1銆丼2銆丼3銆丼4銆丼5銆丼6銆丼7銆2. 缁欒妭鐩富鎸佷汉璁剧疆涓涓帶鍒跺紑鍏,鐢ㄦ潵鎺у埗绯荤粺鐨勬竻闆(缂栧彿鏄剧ず鏁扮爜绠$伃鐏)鍜屾姠绛斿紑濮嬨3. 鎶㈢瓟鍣ㄥ叿鏈夋暟鎹攣瀛樺拰鏄剧ず...
  • 鍩轰簬89C51鍗曠墖鏈璁捐鐨鍏矾鎶㈢瓟鍣
    绛旓細2銆佸疄鐜扮幇璞★細鍚屾椂鎸夐敭鎶㈢瓟锛鍏堟寜鐨勯敭鏈夋晥锛屽叾浠栨寜閿攣姝 澶嶄綅鍚庨噸鏂板紑濮嬫姠绛 3銆佺‖浠惰姹傦細鎸夐敭 LED鐏 鍘熺悊锛氭渶鍏堟寜涓嬫寜閿呮湁鏁堬紝鍏朵粬鍧囨棤鏁,杩欐槸鍥涗釜鎸夐敭鐨勩傜敤鐨勬槸鍏遍槾鏋佸洓浣嶆暟鐮侊紝P0.0~P0.7鎺~dp锛孭2.0~P2.2鎺ф浣嶃傜敤鐨勮姱鐗囨湁锛74HC573 74HC138 鑷繁鎼釜鐢佃矾璇曡瘯锛屽拰浣犵殑瑕佹眰澶ц嚧...
  • 璋佽兘甯垜鐢诲嚭鐢卞崟鐗囨満鎺у埗鐨8璺绔炶禌鎶㈢瓟鍣鐨勫師鐞嗗浘鍜岀▼搴(瑕佹眰鐢–璇█...
    绛旓細绠鍗曠殑璇翠竴涓嬪惂锛屾棦鐒舵槸鍏矾锛閭d箞鏈8涓狶ED锛8涓寜閿紝纭欢锛8涓狶ED 鎺1鍙o紝锛堟敞鎰忚鎺ヤ笂鎷夌數闃伙紝浣庣數骞抽┍鍔級8涓寜閿帴P2鍙o紝鎸夐敭鍙︿竴绔帴鍦般傜紪绋嬶細swhich case璇彞锛 8涓猚ase璇彞 鍒ゆ柇浜嗗摢涓寜閿紝娉ㄦ剰姣忔鍒ゆ柇闇瑕佸睆钄藉叾浠栧彛锛屾瘮濡備綘鎸変簡P2.0锛岄偅涔圥1.0浜紝P1.1-P1.7閮借鐏傜劧鍚...
  • 扩展阅读:奔流抢答器官网 ... 八路抢答器设计报告 ... 扫一扫题目出答案 ... 8人抢答器设计 ... 抢答神器app ... 八路数显抢答器焊接图 ... 简易八路抢答器 ... 手机抢答器软件免费 ... 免费拍照答题一秒出答案 ...

    本站交流只代表网友个人观点,与本站立场无关
    欢迎反馈与建议,请联系电邮
    2024© 车视网