用3线—8线译码器(74LS138芯片).四输入与非门实现"三个开关控制一个灯的电路" 用3线8线译码器(74LS138)、四输入与非门实现“三个开...

\u8bd5\u75283\u7ebf\u2014\u20148\u7ebf\u8bd1\u7801\u566874LS138\u548c\u95e8\u7535\u8def\u5b9e\u73b0\u4e0b\u9762\u591a\u8f93\u51fa\u903b\u8f91\u51fd\u6570 Y1=AC Y2=ABC+ABC+BC Y3=ABC+BC

\u4ee474LS138\u7684\u4e09\u4e2a\u9009\u901a\u8f93\u5165\u4f9d\u6b21\u662fABC\u3002
Y1=AC\u7684\u8bdd \u5217\u51fa\u771f\u503c\u8868\uff0c\u5f53ABC=101\u6216\u8005111\u7684\u65f6\u5019 Y1=1\u3002 \u5f53ABC=101\u65f6\uff0c\u8bd1\u7801\u5668\u9009\u62e9Y5(\u5373\u6b64\u65f6Y5\u8f93\u51fa0\uff0c\u5176\u4f59\u8f93\u51fa1) \u5c06Y5\u548cY7\u63a5\u5230\u95e8\u7535\u8def\u7684\u4e0e\u975e\u95e8\u5373\u53ef\u3002Y2\uff0cY3\u7684\u5b9e\u73b0\u540c\u7406
Y2\u597d\u50cf\u53ef\u4ee5\u5316\u7b80 A\u5148\u8ddfBC\u53d6\u5f02\u6216\u518d\u8ddfBC\u53d6\u4e0e \u3002A\u8ddfBC\u4e24\u9879\u90fd\u53d60\u8f93\u51fa\u624d\u4e3a0. \u6700\u540e\u63a5\u6cd5\u662f\u5c06Y3\u5230Y7\u76845\u4e2a\u8f93\u51fa\u63a5\u5165\u4e0e\u975e\u95e8\u3002
\u8bd1\u7801\u662f\u7f16\u7801\u7684\u9006\u8fc7\u7a0b\uff0c\u5728\u7f16\u7801\u65f6\uff0c\u6bcf\u4e00\u79cd\u4e8c\u8fdb\u5236\u4ee3\u7801\uff0c\u90fd\u8d4b\u4e88\u4e86\u7279\u5b9a\u7684\u542b\u4e49\uff0c\u5373\u90fd\u8868\u793a\u4e86\u4e00\u4e2a\u786e\u5b9a\u7684\u4fe1\u53f7\u6216\u8005\u5bf9\u8c61\u3002
\u628a\u4ee3\u7801\u72b6\u6001\u7684\u7279\u5b9a\u542b\u4e49\u201c\u7ffb\u8bd1\u201d\u51fa\u6765\u7684\u8fc7\u7a0b\u53eb\u505a\u8bd1\u7801\uff0c\u5b9e\u73b0\u8bd1\u7801\u64cd\u4f5c\u7684\u7535\u8def\u79f0\u4e3a\u8bd1\u7801\u5668\u3002\u6216\u8005\u8bf4\uff0c\u8bd1\u7801\u5668\u662f\u53ef\u4ee5\u5c06\u8f93\u5165\u4e8c\u8fdb\u5236\u4ee3\u7801\u7684\u72b6\u6001\u7ffb\u8bd1\u6210\u8f93\u51fa\u4fe1\u53f7\uff0c\u4ee5\u8868\u793a\u5176\u539f\u6765\u542b\u4e49\u7684\u7535\u8def\u3002

\u6269\u5c55\u8d44\u6599\uff1a
\u5de5\u4f5c\u539f\u7406\uff1a
\u5728\u6570\u5b57\u7cfb\u7edf\u4e2d\u5e38\u89c1\u7684\u6570\u7801\u663e\u793a\u5668\u901a\u5e38\u6709\uff1a\u53d1\u5149\u4e8c\u6781\u7ba1\u6570\u7801\u7ba1(LED\u6570\u7801\u7ba1)\u548c\u6db2\u6676\u663e\u793a\u6570\u7801\u7ba1(LCD\u6570\u7801\u7ba1)\u4e24\u79cd\u3002
\u53d1\u5149\u4e8c\u6781\u7ba1\u6570\u7801\u7ba1\u662f\u7528\u53d1\u5149\u4e8c\u6781\u7ba1\u6784\u6210\u663e\u793a\u6570\u7801\u7684\u7b14\u5212\u6765\u663e\u793a\u6570\u5b57\uff0c\u7531\u4e8e\u53d1\u4e8c\u6781\u7ba1\u4f1a\u53d1\u5149\uff0c\u6545LED\u6570\u7801\u7ba1\u9002\u7528\u4e8e\u5404\u79cd\u573a\u5408\u3002
\u6db2\u6676\u663e\u793a\u6570\u7801\u7ba1\u662f\u5229\u7528\u6db2\u6676\u6750\u6599\u5728\u4ea4\u53d8\u7535\u538b\u7684\u4f5c\u7528\u4e0b\u6676\u4f53\u6750\u6599\u4f1a\u5438\u6536\u5149\u7ebf\uff0c\u800c\u6ca1\u6709\u4ea4\u53d8\u7535\u573a\u4f5c\u7528\u4e0b\u6709\u7b14\u5212\u4e0d\u4f1a\u542c\u5438\u5149\uff0c\u8fd9\u6837\u5c31\u53ef\u4ee5\u6765\u663e\u793a\u6570\u7801\u3002
\u4f46\u7531\u4e8e\u6db2\u6676\u6750\u6599\u987b\u6709\u5149\u65f6\u624d\u80fd\u4f7f\u7528\uff0c\u6545\u4e0d\u80fd\u7528\u4e8e\u65e0\u5916\u754c\u5149\u7684\u573a\u5408\uff0c\u4f46\u6db2\u6676\u663e\u793a\u5668\u6709\u4e00\u4e2a\u6700\u5927\u7684\u4f18\u70b9\u5c31\u662f\u8017\u7535\u76f8\u5f53\u8282\u7701\uff0c\u6240\u4ee5\u5e7f\u6cdb\u4f7f\u7528\u4e8e\u5c0f\u578b\u8ba1\u7b97\u5668\u7b49\u5c0f\u578b\u8bbe\u5907\u7684\u6570\u7801\u663e\u793a\u3002

\u7535\u8def\u5982\u4e0b\uff1a \u6539\u53d8\u4efb\u4e00\u5f00\u5173\u7684\u72b6\u6001\uff0c\u90fd\u80fd\u63a7\u5236\u6539\u53d8\u706f\u7684\u72b6\u6001(\u4eae\u6216\u706d)\u3002

用3线—8线译码器(74LS138芯片)四输入与非门实现三个开关控制一个灯的电路:

全加器真值表:

00000;00110;01010;01101;10010;10101;11001;11111。

故有Si和Ci的表达式分别为:

Si=A’B’C+A’BC’+AB’C’+ABC

Ci=A’BC+AB’C+ABC’+ABC

故74138的连接图为:

下面的地址输入端:A2、A1、A0分别接全加器的三个输入信号:Ai、Bi、Ci-1;

下面的使能信号端:S1接高电平"1",S2、S3接低电平"0";

上面的信号输出端:

Y1、Y2、Y4、Y7接至一个四输入与非门的四个输入端,此与非门的输出端为全加器输出信号Si端。

Y3、Y5、Y6、Y7接至一个四输入与非门的四个输入端,此与非门的输出端为全加器输出信号Ci端。

扩展资料:

3线—8线译码器的注意事项:

变量译码器是一个将n个输入变为2^n个输出的多输出端的组合逻辑电路。其模型可用下图来表示,其中输入变化的所有组合中,每个输出为1的情况仅一次,由于最小项在真值表中仅有一次为1,所以输出端为输入变量的最小项的组合。故译码器又可以称为最小项发生器电路。

74138是一种3线—8线译码器 ,三个输入端CBA共有8种状态组合(000—111),可译出8个输出信号Y0—Y7。这种译码器设有三个使能输入端,当G2A与G2B均为0,且G1为1时,译码器处于工作状态,输出低电平。当译码器被禁止时,输出高电平。

74ls138译码器时间波形的电路,使用的虚拟仪器为数字信号发生器和逻辑分析仪。数字信号发生器在一个周期内按顺序送出两组000—111的方波信号。

参考资料来源:百度百科-译码器



电路如下:



改变任一开关的状态,都能控制改变灯的状态(亮或灭)。



  • 鐢3绾库8绾胯瘧鐮佸櫒(74LS138鑺墖).鍥涜緭鍏ヤ笌闈為棬瀹炵幇"涓変釜寮鍏虫帶鍒朵竴涓伅...
    绛旓細鐢3绾库8绾胯瘧鐮佸櫒(74LS138鑺墖)鍥涜緭鍏ヤ笌闈為棬瀹炵幇涓変釜寮鍏虫帶鍒朵竴涓伅鐨勭數璺細鍏ㄥ姞鍣ㄧ湡鍊艰〃锛00000锛00110锛01010锛01101锛10010锛10101锛11001锛11111銆傛晠鏈塖i鍜孋i鐨勮〃杈惧紡鍒嗗埆涓猴細Si锛滱鈥橞鈥機锛婣鈥橞C鈥欙紜AB鈥機鈥欙紜ABC Ci锛滱鈥橞C锛婣B鈥機锛婣BC鈥欙紜ABC 鏁74138鐨勮繛鎺ュ浘涓猴細涓嬮潰鐨勫湴鍧杈撳叆绔細A2...
  • 74LS138鏄3绾库8绾胯瘧鐮佸櫒,璇戠爜杈撳嚭涓鸿緭鍑轰綆鐢靛钩鏈夋晥,鑻ヨ緭鍏ヤ负A2A1A0=1...
    绛旓細3--8绾胯瘧鐮佸櫒杈撳叆鐨凙2A1A0=110涓哄崄杩涘埗鏁6锛屾墍浠ュ搴旂殑杈撳嚭搴旇涓烘渶灏忛」m6'锛屽嵆杈撳嚭搴斾负11111101銆3--8绾胯瘧鐮佸櫒鐨勮绠楁満鍘熺悊锛欰BC涓変釜杈撳叆锛屾瘡涓涓緭鍏ワ紝鎷嗗垎鎴2璺紝瀵瑰簲鍒1鍜0銆傞氳繃涓涓潪闂ㄦ潵瀹炵幇銆備竴涓湁6涓嚎璺紝123456锛屾湁8涓緭鍑猴紝姣忎竴涓緭鍑猴紝瀵瑰簲3涓嚎璺
  • 璇曠敤3绾库斺8绾胯瘧鐮佸櫒74LS138鍜岄棬鐢佃矾瀹炵幇涓嬮潰澶氳緭鍑洪昏緫鍑芥暟 Y1=AC Y...
    绛旓細浠74LS138鐨涓涓夐氳緭鍏ヤ緷娆℃槸ABC銆俌1=AC鐨勮瘽 鍒楀嚭鐪熷艰〃锛屽綋ABC=101鎴栬111鐨勬椂鍊 Y1=1銆 褰揂BC=101鏃讹紝璇戠爜鍣閫夋嫨Y5(鍗虫鏃禮5杈撳嚭0锛屽叾浣欒緭鍑1) 灏哬5鍜孻7鎺ュ埌闂ㄧ數璺殑涓庨潪闂ㄥ嵆鍙俌2锛孻3鐨勫疄鐜板悓鐞 Y2濂藉儚鍙互鍖栫畝 A鍏堣窡BC鍙栧紓鎴栧啀璺烞C鍙栦笌 銆侫璺烞C涓ら」閮藉彇0杈撳嚭鎵嶄负0. ...
  • 浣跨敤璇戠爜鍣74LS138鍜屾暟鎹夋嫨鍣74LS151璁捐鐢佃矾鐨
    绛旓細74ls138鏄3 - 8绾胯瘧鐮佸櫒锛屽綋浣胯兘绔弧瓒虫椂锛屾牴鎹湴鍧绾緾,B,A鐨勫硷紝浣垮緱杈撳嚭Y0鑷砓7鐨勫叓涓緭鍑轰腑鏈変竴涓负浣庣數骞炽74ls151鏄8閫1鏁版嵁閫夋嫨鍣紝鏈夊叓涓暟鎹緭鍏ョD0鑷矰7锛屽綋閫夐氫负浣庣數骞虫椂锛屾牴鎹暟鎹夋嫨C,B,A鐨勫硷紝鍏釜鏁版嵁杈撳叆绔疍0鑷矰7锛屾湁涓涓暟鎹緭鍑哄埌杈撳嚭绔痀銆
  • 鐢3绾-8绾胯瘧鐮佸櫒74LS138鍙婁笌闈為棬鏋勬垚涓涓鍋舵楠屽櫒,涓変釜杈撳叆鍙橀噺涓湁...
    绛旓細鐢3绾-8绾胯瘧鐮佸櫒74LS138鍙婁笌闈為棬鏋勬垚涓涓鍋舵楠屽櫒,涓変釜杈撳叆鍙橀噺涓湁濂囨暟涓1鏃禙1=1,鍚﹀垯F1=0 10 杈撳叆鍙橀噺涓湁鍋舵暟涓1鏃禙2=1,鍚﹀垯F2=0.鍒楀嚭濂囧伓妫楠屽櫒鐨勭湡鍊艰〃,鍐欏嚭F1鍜孎2鐨勯昏緫琛ㄨ揪寮,鐢诲嚭閫昏緫鐢佃矾鍥... 杈撳叆鍙橀噺涓湁鍋舵暟涓1鏃禙2=1,鍚﹀垯F2=0.鍒楀嚭濂囧伓妫楠屽櫒鐨勭湡鍊艰〃,鍐欏嚭F1鍜孎2鐨勯昏緫琛ㄨ揪寮,鐢...
  • 澶х璇峰府涓繖,VHDL璇█,鎬ユ眰瑙g瓟,棰樺瀷濡備笅: 鍐欏嚭3鈥8璇戠爜鍣(濡74LS1
    绛旓細STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY yima3_8 IS PORT(X:IN STD_LOGIC_VECTOR(2 DOWNTO 0); Y:OUT bit_VECTOR (7 DOWNTO 0));END ;ARCHITECTURE ART1 OF yima3_8 IS BEGIN Y(0)<='1' WHEN X="000" ELSE '0'; Y(1)<='1' WHEN X="001...
  • 鐢3绾-8绾胯瘧鐮佸櫒74LS138鍜屼笌闈為棬瀹炵幇涓嬪垪鍑芥暟: 1,F1(A,B,C)=鈭憁(2...
    绛旓細74LS138鏄3绾-8绾胯瘧鐮佸櫒锛屽紩鑴氶昏緫鍔熻兘濡備笅 鑴氶昏緫 1 杈撳叆C 2 杈撳叆B 3 杈撳叆A 15 杈撳嚭m0'14 杈撳嚭m1'13 杈撳嚭m2'12 杈撳嚭m3'11 杈撳嚭m4'10 杈撳嚭m5'9 杈撳嚭m6'7 杈撳嚭m7'锛庨夌墖鑴6鎺ラ珮鐢靛钩锛4銆5鎺ヤ綆鐢靛钩銆傝緭鍏銆丅銆丆鍒嗗埆鎺3銆2銆1鑴氥傦紟F1=m2+m4+m5+m7=(m2'm4'm5'm7')'鍙敤涓鍙4...
  • 璁$畻鏈虹數璺熀纭鐨勯鐩,鎬ユ眰!!!鍥炵瓟寰楀ソ杩藉姞鎮祻鍒嗘暟!!
    绛旓細鍥炵瓟锛涓涓數鐏,瑕佹眰鍦ㄥ洓涓笉鍚岀殑鍦版柟閮藉彲浠ョ嫭绔嬫帶鍒跺畠鐨勪寒鐏傝璁″苟瀹屾垚涓涓數璺互婊¤冻涓婅堪瑕佹眰杈撳叆鏄洓浣嶄簩杩涘埗姝f暣鏁,杈撳嚭鏄兘琚5鏁撮櫎鏃朵负1,鍚﹀垯涓0.璁捐骞跺畬鎴愪竴涓數璺弧瓒充笂杩拌姹傘傚彧鎯宠濡傛灉鐢–璇█绠鍗曞浜,鏁扮數瀛︾殑涓嶅ソ,蹇樿鐨勫樊涓嶅浜嗚璁′竴涓叏鍔犲櫒鐢佃矾,鐢3绾-8绾胯瘧鐮佸櫒74LS138鏉ュ疄鐜...
  • 澶у鏁板瓧鐢靛瓙鎶鏈鐩 鐢3绾-8绾胯瘧鐮佸櫒74LS138鍜屼笌闈為棬瀹炵幇涓嬪垪鍑芥暟...
    绛旓細F2=A闈濨C+B闈濩闈=A`BC+AB`C`+A`B`C`=鈭憁(0,3,4)缁勬垚鐢佃矾瑙侀檮鍥撅細
  • 鐢3鈥鈥8绾胯瘧鐮佸櫒74LS138璁捐涓涓笁浜鸿〃鍐崇數璺 琛ㄥ喅鐨勫師鍒欐槸灏戞暟鏈嶄粠澶...
    绛旓細1琛ㄧず璧炴垚銆傘0琛ㄧず鍚﹀畾銆傘011 101 110 111鍥涚鎯呭喌琛ㄥ喅閫氳繃銆傘侫 B C浠h〃3涓汉锛岀劧鍚庣畝鍖栥傘傛湁闈為棬涓嶅ソ鎵撳嚭鍏紡鏉ワ紝锛屾濊矾灏辫繖鏍
  • 扩展阅读:用多少片3线8线译码器 ... 三线八线译码器电路图 ... 3线8线译码器功能表 ... 38线译码器逻辑图 ... 43码 ... 用3-8译码器实现全减器 ... 74154译码器 ... 3-8线译码器电路图 ... 3线8线译码器实现全加器 ...

    本站交流只代表网友个人观点,与本站立场无关
    欢迎反馈与建议,请联系电邮
    2024© 车视网